IEICE Technical Report

Print edition: ISSN 0913-5685      Online edition: ISSN 2432-6380

Volume 116, Number 270

Silicon Device and Materials

Workshop Date : 2016-10-26 - 2016-10-27 / Issue Date : 2016-10-19

[PREV] [NEXT]

[TOP] | [2013] | [2014] | [2015] | [2016] | [2017] | [2018] | [2019] | [Japanese] / [English]

[PROGRAM] [BULK PDF DOWNLOAD]


Table of contents

SDM2016-69
[Invited Talk] Controlling Metallic Contamination in Advanced ULSI Processing
Koichiro Saga (Sony)
pp. 1 - 8

SDM2016-70
Formation technology of Flat Surface after Selective Epitaxial Growth on Ion-Implanted (100) Oriented Thin SOI Wafers
Kiichi Furukawa, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Shigetoshi Sugawa (Tohoku Univ.), Daisuke Suzuki, Yoichiro Chiba, Katsutoshi Ishii, Akira Shimizu, Kazuhide Hasebe (Tokyo Electron Tohoku)
pp. 9 - 14

SDM2016-71
[Invited Talk] Back-Bias Control Technique for Suppression of Die-to-Die Delay Variability of SOTB CMOS Circuits at Ultralow-Voltage (0.4 V) Operation
Hideki Makiyama, Yoshiki Yamamoto, Takumi Hasegawa, Shinobu Okanishi, Keiichi Maekawa, Hiroki Shinkawata, Shiro Kamohara, Yasuo Yamaguchi (Renesas Electronics Corp.), Nobuyuki Sugii (Hitach), Koichiro Ishibashi (The Univ. of Electro-Communications), Tomoko Mizutani, Toshiro Hiramoto (The Univ. of Tokyo)
pp. 15 - 20

SDM2016-72
[Invited Talk] Ultralow-Voltage Operation of Silicon-on-Thin-BOX (SOTB) 2Mbit SRAM Down to 0.37 V Utilizing Adaptive Back Bias
Yoshiki Yamamoto, Hideki Makiyama, Takumi Hasegawa, Shinobu Okanishi, Keiichi Maekawa, Shinkawata Hiroki, Shiro Kamohara, Yasuo Yamaguchi (Renesas), Nobuyuki Sugii (Hitachi), Tomoko Mizutani, Toshiro Hiramoro (UT)
pp. 21 - 25

SDM2016-73
Effects of the oxidizing species on the interface of Al2O3 film by atomic layer deposition
Masaya Saito, Tomoyuki Suwa, Akinobu Teramoto, Rihito Kuroda, Yasumasa Koda, Hisaya Sugita, Hidekazu Ishii, Yoshinobu Shiba, Yasuyuki Shirai, Shigetoshi Sugawa (Tohoku univ.), Marie Hayashi, Junichi Tsuchimoto (CANON ANELVA)
pp. 27 - 30

SDM2016-74
A Study on Pentacene Film Formation on SiO2 with Wet Process for Bottom-Contact Type OFETs
Yasutaka Maeda, Mizuha Hiroki, Shun-ichiro Ohmi (Tokyo Tech.)
pp. 31 - 34

SDM2016-75
Behavior of Random Telegraph Noise toward Bias Voltage Changing
Takezo Mawaki, Akinobu Teramoto, Rihito Kuroda, Shinya Ichino, Tetsuya Goto, Tomoyuki Suwa, Shigetoshi Sugawa (Tohoku Univ.)
pp. 35 - 38

SDM2016-76
Effect of Si surface flatness on electrical characteristics of Hf-based MONOS structure
Sohya Kudoh, Shun-ichiro Ohmi (Tokyo Tech)
pp. 39 - 44

SDM2016-77
[Invited Talk] Novel Pixel Structure with Stacked Deep Photodiode to Achieve High NIR Sensitivity and High MTF
Hiroki Takahashi, Hiroshi Tanaka, Masahiro Oda, Mitsuyoshi Ando, Naoto Niisoe (TPSCo), Shinichi Kawai, Takuya Asano, Mitsugu Yoshita, Tohru Yamada (PSCS)
pp. 45 - 48

SDM2016-78
[Invited Talk] Low-Noise Imaging Techniques for Scientific CMOS Image Sensors
Min-Woong Seo, Shoji Kawahito (Shizuoka Univ.)
pp. 49 - 52

Note: Each article is a technical report without peer review, and its polished version will be published elsewhere.


The Institute of Electronics, Information and Communication Engineers (IEICE), Japan