Presentation 2009-01-29
Perfect demonstration of a four-context Optically Reconfigurable Gate Array
Takayuki MABUCHI, Minoru WATANABE,
PDF Download Page PDF download Page Link
Abstract(in Japanese) (See Japanese page)
Abstract(in English) Optically reconfigurable gate arrays (ORGAs) consist of a gate array VLSI, a holographic memory and a laser diode array that can achieve rapid reconfiguration and numerous reconfiguration contexts. The gate array of an ORGA is optically reconfigured using diffraction patterns from a holographic memory that is addressed using a laser diode array. This paper shows the perfect demonstration of a four-context Optically Reconfigurable Gate Array. Also, the experimental results of a superimposing technique are presented.
Keyword(in Japanese) (See Japanese page)
Keyword(in English) Optically Reconfigurable Gate Arrays (ORGAs) / multi-context
Paper # VLD2008-98,CPSY2008-60,RECONF2008-62
Date of Issue

Conference Information
Committee RECONF
Conference Date 2009/1/22(1days)
Place (in Japanese) (See Japanese page)
Place (in English)
Topics (in Japanese) (See Japanese page)
Topics (in English)
Chair
Vice Chair
Secretary
Assistant

Paper Information
Registration To Reconfigurable Systems (RECONF)
Language JPN
Title (in Japanese) (See Japanese page)
Sub Title (in Japanese) (See Japanese page)
Title (in English) Perfect demonstration of a four-context Optically Reconfigurable Gate Array
Sub Title (in English)
Keyword(1) Optically Reconfigurable Gate Arrays (ORGAs)
Keyword(2) multi-context
1st Author's Name Takayuki MABUCHI
1st Author's Affiliation Faculty of Engineering, Shizuoka University()
2nd Author's Name Minoru WATANABE
2nd Author's Affiliation Faculty of Engineering, Shizuoka University
Date 2009-01-29
Paper # VLD2008-98,CPSY2008-60,RECONF2008-62
Volume (vol) vol.108
Number (no) 414
Page pp.pp.-
#Pages 4
Date of Issue