Presentation 2008-01-17
A fast optical reconfiguration experiment of a dynamic optically reconfigurable gate array
Mao NAKAJIMA, Minoru WATANABE,
PDF Download Page PDF download Page Link
Abstract(in Japanese) (See Japanese page)
Abstract(in English) Recently, in order to realize fast reconfigurations and numerous reconfiguration contexts, Optically Reconfigurable Gate Array (ORGAs) has been developed. Also, we have proposed a dynamic optically reconfigurable gate array (DORGA) architecture that perfectly removes a static configuration memory to store a single configuration context and uses photodiodes as a configuration memory. So, this paper presents experimental results estimating a fast reconfiguration capability of the DORGA architecture.
Keyword(in Japanese) (See Japanese page)
Keyword(in English) FPGAs / ORGAs / Optical reconfiguration / Liquid crystal hologram
Paper # VLD2007-126,CPSY2007-69,RECONF2007-72
Date of Issue

Conference Information
Committee RECONF
Conference Date 2008/1/10(1days)
Place (in Japanese) (See Japanese page)
Place (in English)
Topics (in Japanese) (See Japanese page)
Topics (in English)
Chair
Vice Chair
Secretary
Assistant

Paper Information
Registration To Reconfigurable Systems (RECONF)
Language JPN
Title (in Japanese) (See Japanese page)
Sub Title (in Japanese) (See Japanese page)
Title (in English) A fast optical reconfiguration experiment of a dynamic optically reconfigurable gate array
Sub Title (in English)
Keyword(1) FPGAs
Keyword(2) ORGAs
Keyword(3) Optical reconfiguration
Keyword(4) Liquid crystal hologram
1st Author's Name Mao NAKAJIMA
1st Author's Affiliation Shizuoka University()
2nd Author's Name Minoru WATANABE
2nd Author's Affiliation Shizuoka University
Date 2008-01-17
Paper # VLD2007-126,CPSY2007-69,RECONF2007-72
Volume (vol) vol.107
Number (no) 419
Page pp.pp.-
#Pages 4
Date of Issue