IEICE Technical Committee Submission System
Conference Schedule
Online Proceedings
[Sign in]
Tech. Rep. Archives
    [Japanese] / [English] 
( Committee/Place/Topics  ) --Press->
 
( Paper Keywords:  /  Column:Title Auth. Affi. Abst. Keyword ) --Press->

All Technical Committee Conferences  (Searched in: All Years)

Search Results: Conference Papers
 Conference Papers (Available on Advance Programs)  (Sort by: Date Descending)
 Results 1 - 20 of 36  /  [Next]  
Committee Date Time Place Paper Title / Authors Abstract Paper #
HWS, VLD 2023-03-01
13:50
Okinawa
(Primary: On-site, Secondary: Online)
Circuit Optimization and Simulation Evaluation for Ultra-Low Voltage of LRPUF Using Manufacturing Variability of Leakage Current
Shunkichi Hata, Kimiyoshi Usami (SIT) VLD2022-77 HWS2022-48
Low power consumption and low-voltage operation become critical issues to be addressed when PUF (Physically Unclonable F... [more] VLD2022-77 HWS2022-48
pp.25-30
VLD, DC, CPSY, RECONF, ICD, IE, IPSJ-SLDM, IPSJ-EMB, IPSJ-ARC
(Joint) [detail]
2019-11-13
15:00
Ehime Ehime Prefecture Gender Equality Center On-Chip Leakage Monitor based Temperature Sensor Circuit for Ultra Low Voltage
Daisuke Sato, Kimiyoshi Usami (SIT) VLD2019-33 DC2019-57
The increase in leakage current due to miniaturization is a big problem in devices that require low power consumption. L... [more] VLD2019-33 DC2019-57
pp.45-50
HWS, VLD 2019-02-28
10:25
Okinawa Okinawa Ken Seinen Kaikan Evaluation of low power consumption Standard Cell Memory (SCM) using body-bias control in Silicon-on-Thin-BOX MOSFET:SOTB
Ryo Magasaki, Yusuke Yoshida (Shibaura Inst. of Tech.), Hideharu Amano (Keio Univ.), Kimiyoshi Usami (Shibaura Inst. of Tech.) VLD2018-108 HWS2018-71
In recent years, IoT devices are rapidly increasing. One of the IoT devices is a sensor node and a small medical device... [more] VLD2018-108 HWS2018-71
pp.91-96
ICD, CPSY, CAS 2017-12-14
15:10
Okinawa Art Hotel Ishigakijima Maximum power estimator for ultra-low power energy harvesters
Hiroki Asano, Tetsuya Hirose, Toshihiro Ozaki, Nobutaka Kuroki, Masahiro Numa (Kobe Univ.) CAS2017-98 ICD2017-86 CPSY2017-95
In this paper, we propose a fully integrated and area-efficient resistor-less relaxation oscillator (ROSC) for ultra-low... [more] CAS2017-98 ICD2017-86 CPSY2017-95
p.141
ICD, CPM, ED, EID, EMD, MRIS, OME, SCE, SDM, QIT
(Joint) [detail]
2017-01-31
15:00
Hiroshima Miyajima-Morino-Yado(Hiroshima) A fully on-chip, ultra-low power RC oscillator with current mode architecture for real time clock applications
Hiroki Asano, Tetsuya Hirose, Keishi Tsubaki, Taro Miyoshi, Toshihiro Ozaki, Nobutaka Kuroki, Masahiro Numa (Kobe Univ.) EMD2016-85 MR2016-57 SCE2016-63 EID2016-64 ED2016-128 CPM2016-129 SDM2016-128 ICD2016-116 OME2016-97
A compact and low-power current-mode RC oscillator (RCO) with process, voltage, and temperature (PVT) stability has been... [more] EMD2016-85 MR2016-57 SCE2016-63 EID2016-64 ED2016-128 CPM2016-129 SDM2016-128 ICD2016-116 OME2016-97
pp.81-86
VLD, DC, CPSY, RECONF, CPM, ICD, IE
(Joint) [detail]
2016-11-28
12:45
Osaka Ritsumeikan University, Osaka Ibaraki Campus 2-step Charge Pump Voltage Booster Circuit for Micro Energy Harvesting
Tomoya Kimura, Hiroyuki ochi (Ritsumeikan Univ.) VLD2016-46 DC2016-40
This report proposes L1L5-type 2-step charge pump circuit that is suitable for boosting efficiently the subthreshold inp... [more] VLD2016-46 DC2016-40
pp.13-18
VLD, DC, CPSY, RECONF, CPM, ICD, IE
(Joint) [detail]
2016-11-29
09:00
Osaka Ritsumeikan University, Osaka Ibaraki Campus Design and Implementation Methodology of Low-power Standard cell memory with optimized body-bias separation in Silicon-on-Thin-BOX (SOTB)
Yusuke Yoshida, Kimiyoshi Usami (Shibaura Institute of Tech.) VLD2016-53 DC2016-47
We focus on the Standard Cell Memory (SCM) as another option to supersede SRAM for low-voltage operation. This paper des... [more] VLD2016-53 DC2016-47
pp.55-60
VLD, DC, CPSY, RECONF, CPM, ICD, IE
(Joint) [detail]
2016-11-29
09:00
Osaka Ritsumeikan University, Osaka Ibaraki Campus Measurement of Vth Variation due to STI Stress and Inverse Narrow Channel Effect at Ultra-Low Voltage in a Variability-Suppressed Process
Yasuhiro Ogasahara, Hanpei Koike (AIST) CPM2016-76 ICD2016-37 IE2016-71
This paper demonstrates notable impact of Vth shift due to STI-induced dopant redistribution on ultra-low voltage design... [more] CPM2016-76 ICD2016-37 IE2016-71
pp.1-6
SDM 2016-10-26
15:30
Miyagi Niche, Tohoku Univ. [Invited Talk] Back-Bias Control Technique for Suppression of Die-to-Die Delay Variability of SOTB CMOS Circuits at Ultralow-Voltage (0.4 V) Operation
Hideki Makiyama, Yoshiki Yamamoto, Takumi Hasegawa, Shinobu Okanishi, Keiichi Maekawa, Hiroki Shinkawata, Shiro Kamohara, Yasuo Yamaguchi (Renesas Electronics Corp.), Nobuyuki Sugii (Hitach), Koichiro Ishibashi (The Univ. of Electro-Communications), Tomoko Mizutani, Toshiro Hiramoto (The Univ. of Tokyo) SDM2016-71
Small-variability transistors such as silicon on thin buried oxide (SOTB) are effective for reducing the operation volta... [more] SDM2016-71
pp.15-20
ICD, SDM, ITE-IST [detail] 2016-08-03
14:15
Osaka Central Electric Club Impacts of Flexible V_th control and Low Process Variability of SOTB to Ultra-low Voltage Designs
Yasuhiro Ogasahara (AIST) SDM2016-65 ICD2016-33
This paper discusses impacts of flexible Vth control, low process variability, and steep SS with small on-current of new... [more] SDM2016-65 ICD2016-33
pp.111-116
MW
(2nd)
2016-06-09
- 2016-06-11
Overseas KMUTNB, Bangkok, Thailand An ultra-low-power RF-impulse transmitter with robustness to supply-voltage variation
Takayoshi Obara, Yosuke Ishikawa, Sho Ikeda, Hiroyuki Ito, Noboru Ishihara, Shiro Dosho, Kazuya Masu (Tokyo Tech)
This paper proposes an ultra-low-power RF-impulse transmitter for sensor networks. One of the conventional and low-power... [more]
US, EA
(Joint)
2016-01-28
17:20
Osaka Kansai University, Centenary Memorial Hall Development of Card Type Parametric Loudspeaker and Its Evaluation
Ryuto Nagashima, Hideyuki Nomura, Tomoo Kamakura (UEC Tokyo) US2015-92 EA2015-57
This report provides acoustic and electric performances of a parametric loudspeaker with an ultrasonic emitter of 2.5 mm... [more] US2015-92 EA2015-57
pp.49-54(US), pp.13-18(EA)
VLD, DC, IPSJ-SLDM, CPSY, RECONF, ICD, CPM
(Joint) [detail]
2015-12-02
17:10
Nagasaki Nagasaki Kinro Fukushi Kaikan The adaptive body bias generator for achieving the ultra-low power operation of the logic circuit
Tomoaki Koide, Kouichirou Ishibashi (UEC), Nobuyuki Sugi (LEAP) CPM2015-134 ICD2015-59
The leakage has been increasing by miniaturization of the transistor in recently year. Adaptive body bias generator with... [more] CPM2015-134 ICD2015-59
pp.39-43
MBE, NC
(Joint)
2015-07-18
14:15
Tokushima The University of Tokushima Optimization of receiver system for ultra-low field MRI with optically pumped atomic magnetometer
Tetsuya Yamamoto, Takenori Oida, Tetsuo Kobayashi (Kyoto Univ.) MBE2015-21
Recently, ultra-low field (ULF) magnetic resonance imaging (MRI) has attracted attention. However, due to the low resona... [more] MBE2015-21
pp.7-12
EE, WPT, IEE-SPC
(Joint) [detail]
2015-07-06
15:30
Kyoto   Application of Wireless Electromagnetic Resonance Power Transmission Technology to Rotary Transformer Used in Ultrasonic Spindle
Yafei Gao, Myoungsik Nam, Masahito Shoyama (Kyushu Univ.), Hideaki Fujita (Oriimec) WPT2015-39
Rotary transformer is used to supply power to non-contact ultrasonic spindle. For solving the problem that low voltage c... [more] WPT2015-39
pp.19-23
VLD 2015-03-04
11:10
Okinawa Okinawa Seinen Kaikan Energy minimization by voltage choice targeted for logic synthesis in silicon on thin buried oxide
Jun Kawasaki, Kimiyoshi Usami (S.I.T.) VLD2014-179
Silicon on Thin Buried Oxide (SOTB) technology enables us to reduce supply voltage because the Vth variation can be supp... [more] VLD2014-179
pp.147-152
SDM 2014-10-17
14:30
Miyagi Niche, Tohoku Univ. [Invited Talk] Back-Bias Control technique for Suppression of Die-to-Die Delay Variability of SOTB MOS Circuits at Ultralow-Voltage (0.4 V) Operation
Hideki Makiyama, Yoshiki Yamamoto, Hidekazu Oda, Shiro Kamohara, Nobuyuki Sugii, Yasuo Yamaguchi (LEAP), Koichiro Ishibashi (Univ. of Electro-Communications), Tomoko Mizutani, Toshiro Hiramoto (Univ. of Tokyo) SDM2014-94
Small-variability transistors such as silicon on thin buried oxide (SOTB) are effective for reducing the operation volta... [more] SDM2014-94
pp.61-68
SDM 2014-01-29
14:40
Tokyo Kikai-Shinko-Kaikan Bldg. [Invited Talk] Suppression of Die-to-Die Delay Variability of Silicon on Thin Buried Oxide (SOTB) CMOS Circuits by Balanced P/N Drivability Control with Back-Bias for Ultralow-Voltage (0.4 V) Operation
Hideki Makiyama, Yoshiki Yamamoto, Hirofumi Shinohara, Toshiaki Iwamatsu, Hidekazu Oda, Nobuyuki Sugii (LEAP), Koichiro Ishibashi (Univ. of Electro- Comm.), Tomoko Mizutani, Toshiro Hiramoto (Univ. of Tokyo), Yasuo Yamaguchi (LEAP) SDM2013-143
Small-variability transistors such as silicon on thin buried oxide (SOTB) are effective for reducing the operation volta... [more] SDM2013-143
pp.35-38
MW
(Workshop)
2013-12-02
- 2013-12-04
Overseas King Mongkut's University of Technology North Bangkok, Thailand An ultra-low power LNA design using SOTB CMOS devices
Hoang Minh Thien, Koichiro Ishibashi (UEC)
The paper presents a 920MHz Ultra-low power low noise amplifier (LNA) circuit, the first LNA is designed based on the 65... [more]
VLD, IPSJ-SLDM 2013-05-16
14:10
Fukuoka Kitakyushu International Conference Center Level Converter Design for Ultra Low Voltage Operation in Silicon-on-Thin-BOX MOSFET
Shohei Nakamura, Kimiyoshi Usami (Shibaura Inst. of Tech.) VLD2013-5
Silicon on Thin Buried Oxide (SOTB) technology has an advantage that variation in threshold voltage can be more suppress... [more] VLD2013-5
pp.43-48
 Results 1 - 20 of 36  /  [Next]  
Choose a download format for default settings. [NEW !!]
Text format pLaTeX format CSV format BibTeX format
Copyright and reproduction : All rights are reserved and no part of this publication may be reproduced or transmitted in any form or by any means, electronic or mechanical, including photocopy, recording, or any information storage and retrieval system, without permission in writing from the publisher. Notwithstanding, instructors are permitted to photocopy isolated articles for noncommercial classroom use without fee. (License No.: 10GA0019/12GB0052/13GB0056/17GB0034/18GB0034)


[Return to Top Page]

[Return to IEICE Web Page]


The Institute of Electronics, Information and Communication Engineers (IEICE), Japan