IEICE Technical Committee Submission System
Conference Schedule
Online Proceedings
[Sign in]
Tech. Rep. Archives
    [Japanese] / [English] 
( Committee/Place/Topics  ) --Press->
 
( Paper Keywords:  /  Column:Title Auth. Affi. Abst. Keyword ) --Press->

All Technical Committee Conferences  (Searched in: All Years)

Search Results: Conference Papers
 Conference Papers (Available on Advance Programs)  (Sort by: Date Descending)
 Results 1 - 15 of 15  /   
Committee Date Time Place Paper Title / Authors Abstract Paper #
SDM 2020-02-07
10:45
Tokyo Tokyo University-Hongo [Invited Talk] Role of electroless-Ni plating in high-aspect-ratio TSV fabrication for 3D integration and packaging
Murugesan Mariappan, Takafumi Fukushima (Tohoku Univ.) SDM2019-91
 [more] SDM2019-91
pp.15-19
SDM 2019-02-07
11:25
Tokyo   [Invited Talk] Ultrafine 3D Interconnect Technology Using Directed Self-Assembly
Takafumi Fukushima, Murugesan Mariappan, Mitsumasa Koyanagi (Tohoku Univ.) SDM2018-92
A directed self-assembly (DSA) technology is applied to fabricate ultrafine pitch TSV (Through-Silicon Vias) for ultra-h... [more] SDM2018-92
pp.5-8
MSS, SS 2015-01-26
14:45
Tottori   Just-In-Time Defect Prediction Tool anko
Shutaro Tanaka, Takafumi Fukushima, Kazuhiro Yamashita, Yasutaka Kamei, Naoyasu Ubayashi (Kyushu Univ.) MSS2014-72 SS2014-36
Some previous studies show that defect prediction at the change-level (i.e., defect prediction for a commit to version c... [more] MSS2014-72 SS2014-36
pp.19-24
SDM 2014-02-28
15:20
Tokyo Kikai-Shinko-Kaikan Bldg. [Invited Talk] TSV Liner Formation with Vapor Deposited Polyimides
Takafumi Fukushima, Mariappan Murugesan, Jicheol Bea, Kangwook Lee, Mitsumasa Koyanagi (Tohoku Univ.) SDM2013-172
A Kapton insulation layer as a TSV liner was conformably formed by vapor deposition polymerization with pyromellitic dia... [more] SDM2013-172
pp.39-42
VLD, DC, IPSJ-SLDM, CPSY, RECONF, ICD, CPM
(Joint) [detail]
2012-11-27
10:45
Fukuoka Centennial Hall Kyushu University School of Medicine [Invited Talk] Overview of 3D Integration Technology and Challenges for Volume Production
Kangwook Lee, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi (Tohoku Univ.) CPM2012-115 ICD2012-79
 [more] CPM2012-115 ICD2012-79
pp.15-22
SDM 2011-02-07
14:55
Tokyo Kikai-Shinko-Kaikan Bldg. Stress Mapping in Thinned Si Wafer with Cu-TSV and Cu-Sn Microbumps
Murugesan Mariappan, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi (Tohoku Univ.) SDM2010-223
 [more] SDM2010-223
pp.43-47
SDM 2010-02-05
10:05
Tokyo Kikai-Shinko-Kaikan Bldg. [Keynote Address] Key Issues and Future Prospects for 3-D Integration Technology
Mitsumasa Koyanagi, Takafumi Fukushima, Kangwook Lee, Tetsu Tanaka (Tohoku Univ.) SDM2009-182
 [more] SDM2009-182
pp.1-6
SDM 2008-06-10
14:10
Tokyo An401・402, Inst. Indus. Sci., The Univ. of Tokyo Characterization of Metal Nanodots Nonvolatile Memory
Yanli Pei, Masahiko Nishijima, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi (Tohoku Univ.) SDM2008-56
 [more] SDM2008-56
pp.83-88
NC 2007-10-19
10:50
Miyagi Tohoku University Development of Si Double-sided Microelectrodes with Vertical Interconnction
Risato Kobayashi, Takafumi Fukushima, Kazuhiro Sakamoto, Tetsu Tanaka, Norihiro Katayama, Hajime Mushiake, Mitsumasa Koyanagi (Tohoku Univ.)
 [more]
ICD, CPM 2007-01-18
15:20
Tokyo Kika-Shinko-Kaikan Bldg. [Special Invited Talk] 3-Dimensional Packaging Technology and Super-Chip Integration
Tetsu Tanaka, Takafumi Fukushima, Mitsumasa Koyanagi (Tohoku Univ.)
 [more] CPM2006-139 ICD2006-181
pp.61-65
ICD, SIP, IE, IPSJ-SLDM 2006-10-27
11:30
Miyagi   Reconfigurable Stacked Memory System for Parallel Image Processing Using Three-Dimensional LSI Technology
Daijiro Amano, Takeaki Sugimura, Yuta Konishi, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi (Tohoku Univ.)
The real-time image processing system with a frame rate beyond video rate is required for the high-speed visual informat... [more] SIP2006-108 ICD2006-134 IE2006-86
pp.43-48
ICD, SIP, IE, IPSJ-SLDM 2006-10-27
11:50
Miyagi   Design of parallel A/D Converter with Variation Correction for Parallel Image Processing system using Three-Dimensional Integration Technology
Yuta Konishi, Takeaki Sugimura, Daijirou Amano, Takafumi Fukushima, Tetsu Tanaka, Mitsumasa Koyanagi (Tohoku Univ.)
 [more] SIP2006-109 ICD2006-135 IE2006-87
pp.49-54
RECONF 2006-05-18
13:00
Miyagi TOHOKU UNIVERSITY [Special Invited Talk] Three-Dimensional Integration Technology and Reconfigurable 3D-SoC
Mitsumasa Koyanagi, Takeaki Sugimura, Takafumi Fukushima, Tetsu Tanaka (Tohoku Univ.)
 [more] RECONF2006-3
pp.13-18
RECONF 2005-05-13
17:15
Kyoto Kyoto University Robot Vision System with Three Dimensionally Integrated Reconfigurable Image Processor
Takeaki Sugimura, Jun Deguchi, Yuta Konishi, Yoshihiro Nakatani, Takafumi Fukushima, Atsushi Konno, Hiroyuki Kurino, Masaru Uchiyama, Mitsumasa Koyanagi (Tohoku Univ.)
 [more] RECONF2005-28
pp.79-84
ICD 2004-12-16
16:15
Hiroshima   Robot Vision System with Parallel Reconfigurable Image Processor
Takeaki Sugimura, Jun Deguchi, Yuta Konishi, Yoshihiro Nakatani, Takafumi Fukushima, Atsushi Konno, Hiroyuki Kurino, Masaru Uchiyama, Mitsumasa Koyanagi (Tohoku Univ.)
 [more] ICD2004-191
pp.49-54
 Results 1 - 15 of 15  /   
Choose a download format for default settings. [NEW !!]
Text format pLaTeX format CSV format BibTeX format
Copyright and reproduction : All rights are reserved and no part of this publication may be reproduced or transmitted in any form or by any means, electronic or mechanical, including photocopy, recording, or any information storage and retrieval system, without permission in writing from the publisher. Notwithstanding, instructors are permitted to photocopy isolated articles for noncommercial classroom use without fee. (License No.: 10GA0019/12GB0052/13GB0056/17GB0034/18GB0034)


[Return to Top Page]

[Return to IEICE Web Page]


The Institute of Electronics, Information and Communication Engineers (IEICE), Japan