IEICE Technical Committee Submission System
Conference Schedule
Online Proceedings
[Sign in]
Tech. Rep. Archives
    [Japanese] / [English] 
( Committee/Place/Topics  ) --Press->
 
( Paper Keywords:  /  Column:Title Auth. Affi. Abst. Keyword ) --Press->

All Technical Committee Conferences  (Searched in: All Years)

Search Results: Conference Papers
 Conference Papers (Available on Advance Programs)  (Sort by: Date Descending)
 Results 41 - 60 of 105 [Previous]  /  [Next]  
Committee Date Time Place Paper Title / Authors Abstract Paper #
SCE 2017-08-09
13:45
Aichi Nagoya Univ. (Higashiyama Campus) Design and evaluation of 2 × 2 look-up table and extension to 4 × 4 for realization of FPGA using single flux quantum circuits
Mika Araki, Yuki Yamanashi, Nobuyuki Yoshikawa (Yokohama National Univ.) SCE2017-15
 [more] SCE2017-15
pp.25-29
SCE 2017-08-09
14:10
Aichi Nagoya Univ. (Higashiyama Campus) Demonstration of AQFP/CMOS hybrid system and design and measurement of an AQFP 16-bit MUX
Yukihiro Okuma, Yuki Yamanashi, Nobuyuki Yoshikawa (Yokohama National Univ.) SCE2017-16
 [more] SCE2017-16
pp.31-36
SCE 2017-08-09
15:15
Aichi Nagoya Univ. (Higashiyama Campus) Improvement of double oscillator type SFQ time-to-digital converter and realization of high temporal resolution
Yuma Tomitsuka, Yutaka Abe (Yokohama National Univ.), Nobuyuki Zen (NAIST), Yuki Yamanashi, Nobuyuki Yoshikawa (Yokohama National Univ.) SCE2017-18
 [more] SCE2017-18
pp.43-48
SCE 2017-04-21
10:25
Tokyo Kikai-Shinko-Kaikan Bldg. Design of Power Divider For AQFP logic
Yuge Xing, Naoki Takeuchi, Yuki Yamanashi, Nobuyuki Yoshikawa (Yokohama Nat. Univ.) SCE2017-2
We have been investigating adiabatic-quantum-flux-parametron (AQFP) logic as an ultra-low power logic circuit with zero ... [more] SCE2017-2
pp.7-11
SCE 2017-04-21
10:50
Tokyo Kikai-Shinko-Kaikan Bldg. Simulation of Superconducting Circuits Composed of Josephson Junctions and π-Junctions
Yuki Yamanashi, Akira Sugiyama, Shunpei Imagawa, Nobuyuki Yoshikawa (Yokohama Nat'l Univ.) SCE2017-3
 [more] SCE2017-3
pp.13-16
SCE 2017-04-21
13:20
Tokyo Kikai-Shinko-Kaikan Bldg. Design and high speed test of an SFQ complex event detector circuit for complex event processing
Ryosuke Sato, Tomohiro ono, Yuki Yamanashi, Nobuyuki Yoshikawa (Yokohama Nat'l Univ.) SCE2017-6
We study about complex event detector (CED) circuits and symbol matching circuits, which are component circuits of the C... [more] SCE2017-6
pp.29-34
SCE 2017-01-19
11:45
Tokyo Kikai-Shinko-Kaikan Bldg. Demonstration of Long Distance Interconnection Between Adiabatic Quantum-Flux-Parametron Gates Using Superconductive Microstrip Lines
Fumihiro China (YNU), Naoki Takeuchi (YNU IAS), Thomas Ortlepp (CiS), Yuki Yamanashi, Nobuyuki Yoshikawa (YNU) SCE2016-42
 [more] SCE2016-42
pp.19-24
SCE 2016-10-27
11:15
Miyagi   Investigation of Current Sensitivity of Quantum Flux Parametron
Yuki Yamanashi, Takashi Matsushima, Naoki Takeuchi, Nobuyuki Yoshikawa (Yokohama Nat. Univ.) SCE2016-37
(To be available after the conference date) [more] SCE2016-37
pp.47-51
SCE 2016-08-09
14:05
Saitama Saitama Univ. (Omiya sonic city) Increasing capacity of buffers in single-flux-quantum time-to-digital converters for time-of-flight mass spectrometry of biomolecules
Kyosuke Sano, Yutaka Abe, Yuki Yamanashi, Nobuyuki Yoshikawa (Yokohama National Univ.) SCE2016-22
 [more] SCE2016-22
pp.51-56
SCE 2016-08-09
14:30
Saitama Saitama Univ. (Omiya sonic city) Study of high sensitive Josephson comparators for very small current detection
Yutaka Abe, Kyosuke Sano, Yuki Yamanashi, Nobuyuki Yoshikawa (Yokohama Nat. Univ.) SCE2016-23
The gray zone of Josephson comparators determine the current sensitivity of readout circuits for superconductor detector... [more] SCE2016-23
pp.57-62
SCE 2016-04-20
13:00
Tokyo   New operation mode of adiabatic quantum-flux-parametron circuits
Kun Fang (Yokohama Nat. Univ.), Naoki Takeuchi (Yokohama Nat. Univ./JST), Yuki Yamanashi, Nobuyuki Yoshikawa (Yokohama Nat. Univ.) SCE2016-1
 [more] SCE2016-1
pp.1-6
SCE 2016-04-20
13:50
Tokyo   Demonstration of single-flux-quantum time-to-digital converter using current recycling techniques
Kyosuke Sano (Yokohama National Univ./Research fellow of JSPS), Tomoki Shimoda, Yutaka Abe, Yuki Yamanashi, Nobuyuki Yoshikawa (Yokohama National Univ.), Nobuyuki Zen, Masataka Ohkubo (AIST) SCE2016-3
 [more] SCE2016-3
pp.13-18
SCE 2016-01-21
09:55
Tokyo   Improvement of operational stability of SFQ logic gate with optimized Josephson comparator
Kenta Asakura, Yuki Yamanashi, Nobuyuki Yoshikawa (Yokohama Nat Univ.) SCE2015-37
A single-flux-quantum (SFQ) circuits has been studied because of its low power and high-speed operation. Because the bit... [more] SCE2015-37
pp.7-10
SCE 2016-01-21
10:20
Tokyo   HDL-based Modelling Approach for Adiabatic Superconductor Logic Simulation
Qiuyun Xu, Christopher Ayala, Naoki Takeuchi, Yuki Yamanashi, Nobuyuki Yoshikawa (YNU) SCE2015-38
 [more] SCE2015-38
pp.11-15
SCE 2016-01-21
10:45
Tokyo   Proposal of Majority Gate-Based Feedback Latches for AQFP Logic
Naoki Tsuji, Naoki Takeuchi, Fumihiro China, Tatsuya Narama (Yokohama National Univ.), Thomas Ortlepp (CiS), Yamanashi Yuki, Nobuyuki Yoshikawa (Yokohama National Univ.) SCE2015-39
We have been developing the adiabatic quantum-flux-parametron (AQFP) as an ultra-low-power superconductor logic. Latches... [more] SCE2015-39
pp.17-22
SCE 2016-01-21
13:00
Tokyo   [Invited Talk] Study on Reversibility and Energy Dissipation in Adiabatic Quantum-Flux-Parametron Logic
Naoki Takeuchi, Yuki Yamanashi, Nobuyuki Yoshikawa (Yokohama Nat. Univ.) SCE2015-41
Reversible computing is considered to be a key technology to achieve an extremely small bit energy in future computers. ... [more] SCE2015-41
pp.29-34
SCE 2016-01-21
13:50
Tokyo   Demonstration of Signal Interface between Single Flux Quantum and Adiabatic Quantum Flux Parametron
Fumihiro China, Naoki Takeuchi, Yuki Yamanashi, Nobuyuki Yoshikawa (Yokohama National Univ.), Thomas Ortlepp (CiS) SCE2015-43
 [more] SCE2015-43
pp.41-46
SCE 2015-10-08
13:15
Miyagi Tohoku Univ. Investigation on energy dissipation in adiabatic quantum-flux-parametron at finite temperature
Naoki Takeuchi, Yuki Yamanashi, Nobuyuki Yoshikawa (Yokohama Nat. Univ.) SCE2015-20
Because of its extremely high energy efficiency, adiabatic quantum-flux-parametron (AQFP) is one of the most promising c... [more] SCE2015-20
pp.1-6
SCE 2015-10-08
13:40
Miyagi Tohoku Univ. Timing Extraction for Logic Simulation of VLSI Adiabatic Quantum-Flux-Parametron Circuits
Christopher L. Ayala, Naoki Takeuchi, Qiuyun Xu, Tatsuya Narama, Yuki Yamanashi, Thomas Ortlepp, Nobuyuki Yoshikawa (Yokohama Nat. Univ.) SCE2015-21
 [more] SCE2015-21
pp.7-12
SCE 2015-08-04
13:30
Kanagawa Yokohama National Univ. Evaluation of Josephson transmission line and the shift register using LR-biased method with dynamic resetting mechanism
Ryuta Tsutsumi, Yuki Yamanashi, Nobuyuki Yoshikawa (Yokohama National Univ.) SCE2015-8
 [more] SCE2015-8
pp.1-4
 Results 41 - 60 of 105 [Previous]  /  [Next]  
Choose a download format for default settings. [NEW !!]
Text format pLaTeX format CSV format BibTeX format
Copyright and reproduction : All rights are reserved and no part of this publication may be reproduced or transmitted in any form or by any means, electronic or mechanical, including photocopy, recording, or any information storage and retrieval system, without permission in writing from the publisher. Notwithstanding, instructors are permitted to photocopy isolated articles for noncommercial classroom use without fee. (License No.: 10GA0019/12GB0052/13GB0056/17GB0034/18GB0034)


[Return to Top Page]

[Return to IEICE Web Page]


The Institute of Electronics, Information and Communication Engineers (IEICE), Japan