IEICE Technical Committee Submission System
Conference Schedule
Online Proceedings
[Sign in]
Tech. Rep. Archives
    [Japanese] / [English] 
( Committee/Place/Topics  ) --Press->
 
( Paper Keywords:  /  Column:Title Auth. Affi. Abst. Keyword ) --Press->

All Technical Committee Conferences  (Searched in: All Years)

Search Results: Conference Papers
 Conference Papers (Available on Advance Programs)  (Sort by: Date Descending)
 Results 21 - 40 of 51 [Previous]  /  [Next]  
Committee Date Time Place Paper Title / Authors Abstract Paper #
ICD, ITE-IST 2011-07-22
09:00
Hiroshima Hiroshima Institute of Technology A 100V AC Energy Meter with Organic CMOS Circuits
Koichi Ishida, Tsung-Ching Huang, Kentaro Honda, Tsuyoshi Sekitani (Univ. of Tokyo), Hiroyoshi Nakajima, Hiroki Maeda (Dai Nippon Printing), Makoto Takamiya, Takao Someya, Takayasu Sakurai (Univ. of Tokyo) ICD2011-25
In order to further reduce the energy loss in the power grid, an extremely fine-grain power monitoring system is essenti... [more] ICD2011-25
pp.57-62
ICD 2011-04-19
14:25
Hyogo Kobe University Takigawa Memorial Hall Design of Program-voltage(20V) Booster and TSV for High Speed and Low Power 3-D Solid State Drive System
Teruyoshi Hatanaka, Koh Johguchi, Koichi Ishida, Tadashi Yasufuku, Makoto Takamiya, Takayasu Sakurai, Ken Takeuchi (Univ. of Tokyo) ICD2011-16
A design of high speed and low power high-voltage generator system that includes a program-voltage (20V) booster and TSV... [more] ICD2011-16
pp.87-92
ICD 2010-12-16
10:55
Tokyo RCAST, Univ. of Tokyo [Invited Talk] Ambient Electronics and Integrated Circuits
Takayasu Sakurai (Tokyo Univ.) ICD2010-97
Electronics is penetrating more into people's daily life and contributing more to society than ever. In this ubiquitous ... [more] ICD2010-97
pp.13-18
ICD 2010-12-17
11:20
Tokyo RCAST, Univ. of Tokyo A Charge-Domain Auto- and Cross-Correlation Based IR-UWB Receiver with Power- and Area-efficient PLL for 62.5ps Step Data Synchronization in 65nm CMOS
Lechang Liu, Takayasu Sakurai, Makoto Takamiya (Univ. of Tokyo) ICD2010-120
A 100Mb/s, 1.71mW DC-960MHz band impulse radio ultra-wideband (IR-UWB) receiver is developed in 1.2V 65nm CMOS. A novel ... [more] ICD2010-120
pp.125-129
ICD 2010-12-17
13:50
Tokyo RCAST, Univ. of Tokyo Misleading Energy and Performance Claims in Sub/Near Threshold Digital Systems
Yu Pu, Xin Zhang, Jim Huang (Univ. of Tokyo), Atsushi Muramatsu, Masahiro Nomura, Koji Hirairi, Hidehiro Takata, Taro Sakurabayashi, Shinji Miyano (STARC), Makoto Takamiya, Takayasu Sakurai (Univ. of Tokyo) ICD2010-122
Many of us in the field of ultra-low-Vdd processors experience difficulty in assessing the sub/near threshold circuit te... [more] ICD2010-122
pp.135-140
ICD 2010-12-17
16:15
Tokyo RCAST, Univ. of Tokyo A 1-V Input, 0.2-V to 0.47-V Output Switched-Capacitor DC-DC Converter with Pulse Density and Width Modulation (PDWM) for 57% Ripple Reduction
Xin Zhang, Yu Pu, Koichi Ishida (Univ. of Tokyo), Yoshikatsu Ryu, Yasuyuki Okuma (STARC), Po-Hung Chen (Univ. of Tokyo), Kazunori Watanabe (STARC), Takayasu Sakurai, Makoto Takamiya (Univ. of Tokyo) ICD2010-127
To effectively reduce output ripple of switched-capacitor DC-DC converters which generate variable output voltages, a no... [more] ICD2010-127
pp.163-167
ICD 2010-12-17
16:40
Tokyo RCAST, Univ. of Tokyo 0.18-V Input Charge Pump with Forward Body Biasing in Startup Circuit using 65nm CMOS
Po-Hung Chen, Koichi Ishida, Xin Zhang (Tokyo Univ.), Yasuyuki Okuma, Yoshikatsu Ryu (STARC), Makoto Takamiya, Takayasu Sakurai (Tokyo Univ.) ICD2010-128
In this paper, a 0.18-V input three-stage charge pump circuit applying forward body bias is proposed. In the developed c... [more] ICD2010-128
pp.169-173
ICD, SDM 2010-08-27
09:50
Hokkaido Sapporo Center for Gender Equality A 60% Higher Write Speed, 4.2Gbps, 24-Channel 3D-Solid State Drive (SSD) with NAND Flash Channel Number Detector and Intelligent Program-Voltage Booster
Teruyoshi Hatanaka, Koichi Ishida, Tadashi Yasufuku (Univ. of Tokyo), Shinji Miyamoto, Hiroto Nakai (Toshiba), Makoto Takamiya, Takayasu Sakurai, Ken Takeuchi (Univ. of Tokyo) SDM2010-140 ICD2010-55
 [more] SDM2010-140 ICD2010-55
pp.89-94
ICD, ITE-IST 2010-07-23
16:00
Osaka Josho Gakuen Osaka Center User Customizable Logic Paper with 2V Organic CMOS and Ink-Jet Printed Interconnects
Koichi Ishida, Naoki Masunaga, Ryo Takahashi, Tsuyoshi Sekitani (Univ. of Tokyo), Shigeki Shino (Mitsubishi Paper Mills Ltd.), Ute Zschieschang, Hagen Klauk (Max Planck Institute), Makoto Takamiya, Takao Someya, Takayasu Sakurai (Univ. of Tokyo) ICD2010-35
We propose a User Customizable Logic Paper (UCLP), which is suitable for the prototyping of large-area electronics with ... [more] ICD2010-35
pp.115-119
ICD 2009-12-15
16:10
Shizuoka Shizuoka University (Hamamatsu) Inductor Design of 20-V Boost Converter for Low Power 3D Solid State Drive
Tadashi Yasufuku, Koichi Ishida (Univ. of Tokyo.), Shinji Miyamoto, Hiroto Nakai (Toshiba), Makoto Takamiya, Takayasu Sakurai, Ken Takeuchi (Univ. of Tokyo.) ICD2009-103
An inductor design for a 3D Solid State Drive (3D-SSD) with a boost converter are presented in this paper. The spiral in... [more] ICD2009-103
pp.151-156
ICD, ITE-IST 2009-10-01
09:10
Tokyo CIC Tokyo (Tamachi) Feasibility Study on EMI Measurement "furoshiki" using 2V Organic CMOS and Silicon CMOS
Koichi Ishida, Naoki Masunaga, Zhiwei Zhou, Tadashi Yasufuku, Tsuyoshi Sekitani (Univ. of Tokyo), Ute Zschieschang, Hagen Klauk (Max Planck Institute), Makoto Takamiya, Takao Someya, Takayasu Sakurai (Univ. of Tokyo) ICD2009-33
 [more] ICD2009-33
pp.1-6
ICD, SDM 2009-07-16
10:25
Tokyo Tokyo Institute of Technology A 100Mbps, 1.28mW Impulse Radio UWB Receiver with Charge-Domain Sampling Correlator in 0.18um CMOS
Lechang Liu, Takayasu Sakurai, Makoto Takamiya (Univ. of Tokyo) SDM2009-98 ICD2009-14
A low power impulse radio ultra-wideband (UWB) receiver for DC-960MHz band is proposed in this paper. The proposed charg... [more] SDM2009-98 ICD2009-14
pp.7-11
ICD 2009-04-14
14:35
Miyagi Daikanso (Matsushima, Miyagi) [Invited Talk] A 1.8V 30nJ Adaptive Program-Voltage (20V) Generator for 3D-Integrated NAND Flash SSD
Tadashi Yasufuku, Koichi Ishida (Tokyo Univ.), Shinji Miyamoto, Hiroto Nakai (Toshiba), Makoto Takamiya, Takayasu Sakurai, Ken Takeuchi (Tokyo Univ.) ICD2009-10
A boost-converter-based adaptive voltage generator for 3D-integrated SSD is proposed. Adaptive frequency and duty cycle ... [more] ICD2009-10
pp.47-52
ICD, ITE-IST 2008-10-24
11:15
Hokkaido Hokkaido University A 100Mbps, 0.41mV Impulse UWB Transceiver Based on Leading Edge Detection Technique
Lechang Liu, Yoshio Miyamoto, Zhiwei Zhou, Kosuke Sakaida, Jisun Ryu, Koichi Ishida, Makoto Takamiya, Takayasu Sakurai (Univ. of Tokyo) ICD2008-84
A novel low power impulse Ultra-wideband (UWB) transceiver based on leading edge detection technique is developed. It fe... [more] ICD2008-84
pp.149-154
CPM, ICD 2008-01-17
11:10
Tokyo Kikai-Shinko-Kaikan Bldg Design of an On-Chip Noise Canceller with High Voltage Supply Lines for Nanosecond-Range Power Supply Noise
Yasumi Nakamura, Makoto Takamiya, Takayasu Sakurai (Univ. of Tokyo) CPM2007-132 ICD2007-143
An on-chip noise canceller with high voltage supply lines for the nanosecond-range power supply noise is proposed. The ... [more] CPM2007-132 ICD2007-143
pp.23-27
ICD, SDM 2007-08-24
09:20
Hokkaido Kitami Institute of Technology An On-Chip Noise Canceller with High Voltage Supply Lines for Nanosecond-Range Power Supply Noise
Yasumi Nakamura, Makoto Takamiya, Takayasu Sakurai (Univ. of Tokyo) SDM2007-157 ICD2007-85
An on-chip noise canceller with high voltage supply lines for the nanosecond-range power supply noise is proposed. The ... [more] SDM2007-157 ICD2007-85
pp.91-94
ICD, ITE-IST 2007-07-27
13:50
Hyogo   Circuits Technologies for Wireless Power Transmission Sheet with Organic FETs and Plastic MEMS Switches
Hiroshi Kawaguchi (Kobe University), Makoto Takamiya, Tsuyoshi Sekitani, Yoshio Miyamoto, Yoshiaki Noguchi, Takao Someya, Takayasu Sakurai (kawapy@godzilla.kobe-u.ac.jp) ICD2007-63
Design innovations to overcome the shortcomings of a wireless power transmission sheet made with plastic MEMS switches a... [more] ICD2007-63
pp.153-158
ICD 2007-04-13
10:30
Oita   A 0.14pJ/b Inductive-Coupling Transceiver
Noriyuki Miura, Hiroki Ishikuro (Keio Univ.), Takayasu Sakurai (Univ. of Tokyo), Tadahiro Kuroda (Keio Univ.) ICD2007-12
A 0.14pJ/b inter-chip inductive-coupling data transceiver is developed. By using a pulse-shaping circuit, the transmitte... [more] ICD2007-12
pp.65-69
OME 2006-12-18
14:40
Tokyo Kikai-Shinko-Kaikan Bldg AC characteristics of organic CMOS logic circuits
Kazuki Hizu, Tsuyoshi Sekitani (Univ. Tokyo), Joe Otsuki (Nihon Univ.), Makoto Takamiya, Takayasu Sakurai, Takao Someya (Univ. Tokyo)
 [more] OME2006-115
pp.37-41
ICD, SDM 2006-08-17
10:55
Hokkaido Hokkaido University A 1-ps resolution on-chip sampling oscilloscope with 64:1 tunable sampling range based on ramp waveform division scheme
Kenichi Inagaki (Univ. of Tokyo), Danardono Dwi Antono (SONY), Makoto Takamiya (Univ. of Tokyo), Shigetaka Kumashiro (NEC Electronics), Takayasu Sakurai (Univ. of Tokyo)
An on-chip sampling oscilloscope with 1ps timing resolution is realized in 90nm CMOS process based on a proposed ramp wa... [more] SDM2006-129 ICD2006-83
pp.25-30
 Results 21 - 40 of 51 [Previous]  /  [Next]  
Choose a download format for default settings. [NEW !!]
Text format pLaTeX format CSV format BibTeX format
Copyright and reproduction : All rights are reserved and no part of this publication may be reproduced or transmitted in any form or by any means, electronic or mechanical, including photocopy, recording, or any information storage and retrieval system, without permission in writing from the publisher. Notwithstanding, instructors are permitted to photocopy isolated articles for noncommercial classroom use without fee. (License No.: 10GA0019/12GB0052/13GB0056/17GB0034/18GB0034)


[Return to Top Page]

[Return to IEICE Web Page]


The Institute of Electronics, Information and Communication Engineers (IEICE), Japan