IEICE Technical Committee Submission System
Conference Schedule
Online Proceedings
[Sign in]
Tech. Rep. Archives
    [Japanese] / [English] 
( Committee/Place/Topics  ) --Press->
 
( Paper Keywords:  /  Column:Title Auth. Affi. Abst. Keyword ) --Press->

All Technical Committee Conferences  (Searched in: All Years)

Search Results: Conference Papers
 Conference Papers (Available on Advance Programs)  (Sort by: Date Descending)
 Results 1 - 20 of 47  /  [Next]  
Committee Date Time Place Paper Title / Authors Abstract Paper #
SDM 2023-10-13
15:10
Miyagi Niche, Tohoku Univ. [Invited Talk] statistical analysis of random telegraphic noise dependence on operating condition using electrical characteristic measurement platform
Takezo Mawaki, Rihito Kuroda (Tohoku Univ.) SDM2023-57
We refer to the overall measurement system composed of array test circuits and other equipment as the electrical charact... [more] SDM2023-57
pp.21-26
SDM 2022-10-19
11:10
Online Online Resistance Masurement Technology for Statistical Analysis of Thin Films Materials for Emerging Memory with High Accuracy and Wide Range
Hidemi Mitsuda, Ryousuke Tenman, Takezou Mawaki, Rihito Kuroda (Tohoku Univ) SDM2022-55
We report on a resistance measurement circuit that enables accurate, wide-range, and statistical evaluation of various t... [more] SDM2022-55
pp.5-8
ICD, SDM, ITE-IST [detail] 2022-08-09
14:00
Online   High-precision small capacitance difference measurement using proximity capacitance sensor
Yoshiaki Watanabe, Yuki Sugama, Yoshinobu Shiba, Rihito Kuroda, Yasuyuki Shirai, Shigetoshi Sugawa (Tohoku Univ.)
 [more]
SDM 2021-10-21
16:00
Online Online Current Measurement Platform Applied for Statistical Measurement of Discharge Current due to Traps in SiN Dielectrics
Koga Saito, Hayato Suzuki, Hyeonwoo Park, Rihito Kuroda (Tohoku Univ.), Akinobu Teramoto (Hiroshima Univ.), Tomoyuki Suwa, Shigetoshi Sugawa (Tohoku Univ.) SDM2021-51
A current measurement platform to measure current across dielectrics with a high precision of $10^{-17}$ A applied for s... [more] SDM2021-51
pp.23-26
SDM 2021-10-21
16:25
Online Online Statistical analysis of RTN behavior on transistor structure, operating region, and carrier transport direction
Ryo Akimoto, Rihito Kuroda, Takezo Mawaki, Shigotoshi Sugawa (Tohoku Univ.) SDM2021-52
 [more] SDM2021-52
pp.27-32
SDM, ICD, ITE-IST [detail] 2021-08-17
09:30
Online Online [Invited Talk] Development of sub-aF accuracy high resolution and realtime CMOS proximity capacitance image sensors
Rihito Kuroda (Tohoku Univ.)
 [more]
SDM 2021-06-22
13:10
Online Online [Memorial Lecture] Modification of states of metal copper and copper oxide due to isopropyl alcohol treatment
Takezo Mawaki (Tohoku Univ.), Akinobu Teramoto (Hiroshima Univ.), Katsutoshi Ishii (Tokyo Electron Technology Solutions), Yoshinobu Shiba, Tomoyuki Suwa (Tohoku Univ.), Shuji Azumo, Akira Shimizu, Kota Umezawa (Tokyo Electron Technology Solutions), Rihito Kuroda, Yasuyuki Shirai, Shigetoshi Sugawa (Tohoku Univ.) SDM2021-22
The reduction of copper oxide by isopropyl alcohol (IPA) gas and its mechanism were investigated toward the selective pr... [more] SDM2021-22
pp.1-6
SDM 2020-10-22
10:50
Online Online High capacitance density high breakdown voltage textured deep trench SiN capacitors toward 3D integration
Koga Saito, Ayano Yoshida, Rihito Kuroda (Tohoku Univ.), Hiroshi Shibata, Taku Shibaguchi, Naoya kuriyama (LAPIS Semiconductor Miyagi), Shigetoshi Sugawa (Tohoku Univ.) SDM2020-15
High capacitance density and High breakdown voltage textured deep trench SiN capacitors are presented. The developed cap... [more] SDM2020-15
pp.7-11
SDM 2020-10-22
14:50
Online Online Modification of states of copper and copper oxide due to IPA treatment
Takezo Mawaki (Tohoku Univ.), Akinobu Teramoto (Hiroshima Univ.), Katsutoshi Ishii (Tokyo Electron Technology Solutions), Yoshinobu Shiba, Tomoyuki Suwa (Tohoku Univ.), Shuji Azumo, Akira Shimizu, Kota Umezawa (Tokyo Electron Technology Solutions), Rihito Kuroda, Yasuyuki Shirai, Shigetoshi Sugawa (Tohoku Univ.) SDM2020-19
The reduction of copper oxide by isopropyl alcohol (IPA) gas and its mechanism were investigated toward the selective pr... [more] SDM2020-19
pp.25-29
SDM 2020-10-22
15:50
Online Online Effect of Drain-to-Source Voltage on Random Telegraph Noise Based on Statistical Analysis
Ryo Akimoto, Rihito Kuroda (Tohoku Univ.), Akinobu Teramoto (Hiroshima Univ.), Takezo Mawaki, Shinya Ichino, Tomoyuki Suwa, Shigetoshi Sugawa (Tohoku Univ.) SDM2020-21
In this work, temporal noise characteristics of 11520 MOSFETs were measured for each of rectangular and trapezoidal shap... [more] SDM2020-21
pp.34-39
SDM 2019-11-08
15:40
Tokyo Kikai-Shinko-Kaikan Bldg. [Invited Talk] Measurement and Analysis Technologies of RTS Noise Toward Advanced CMOS Image Sensors Development
Rihito Kuroda (Tohoku Univ.) SDM2019-79
 [more] SDM2019-79
pp.55-58
SDM 2019-10-24
10:20
Miyagi Niche, Tohoku Univ. [Invited Lecture] Effect of an oxide layer at Co/Si interface on Schottky barrier height and contact resistivity
Koichi Kido, Ken Sato, Rihito Kuroda, Daisuke Ando, Yuji Suto, Junichi Koike (Tohoku Univ.) SDM2019-60
 [more] SDM2019-60
pp.35-38
SDM 2019-10-24
15:10
Miyagi Niche, Tohoku Univ. Resistance Measurement Platform for Statistical Evaluation of Emerging Memory Materials with High Accuracy
Takeru Maeda, Yuya Omura, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa (Tohoku Univ.) SDM2019-65
A high precision 1 Ω – 10 MΩ range resistance measurement platform is presented. The developed platform excludes on-resi... [more] SDM2019-65
pp.59-64
SDM 2019-10-24
15:40
Miyagi Niche, Tohoku Univ. Gas concentration distribution measurement in semiconductor process chamber using a high SNR CMOS absorption image sensor
Keigo Takahashi, Yhang Ricardo Sipauba Carvalho da Silva, Rihito Kuroda, Yasuyuki Fujihara, Maasa Murata, Hidekazu Ishii, Tatsuo Morimoto, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa (Tohoku Univ.) SDM2019-66
This paper reports on gas concentration imaging using lateral overflow integration trench capacitor(LOFITreC) CMOS absor... [more] SDM2019-66
pp.65-68
SDM 2019-10-24
16:10
Miyagi Niche, Tohoku Univ. Observation of Fluctuation of Magnetron Sputtering Plasmas Using High-speed Video Camera
Shintaro Yamazaki, Tetsuya Goto, Manabu Suzuki, Rihito Kuroda, Shigetoshi Sugawa (Tohoku Univ.) SDM2019-67
 [more] SDM2019-67
pp.69-72
SDM 2018-10-18
14:00
Miyagi Niche, Tohoku Univ. Statistical Analysis of Electric Characteristics Variability Using MOSFETs with Asymmetric Source and Drain
Shinya Ichino, Akinobu Teramoto, Rihito Kuroda, Takezo Mawaki, Tomoyuki Suwa, Shigetoshi Sugawa (Tohoku Univ.) SDM2018-62
In this paper, a statistical analysis of electric characteristics variabilities such as threshold voltage variability an... [more] SDM2018-62
pp.51-56
SDM 2017-10-25
14:50
Miyagi Niche, Tohoku Univ. Experimental Investigation of Localized Stress Induced Leakage Current Distribution and its Decrease by Atomically Flattening Process
Hyeonwoo Park, Rihito Kuroda, Tetsuya Goto, Tomoyuki Suwa, Akinobu Teramoto, Daiki Kimoto, Shigetoshi Sugawa (Tohoku Univ) SDM2017-51
Stress Induced Leakage Current (SILC) distributions of a large number of small nMOS transistors with different gate size... [more] SDM2017-51
pp.9-14
SDM 2017-10-26
10:20
Miyagi Niche, Tohoku Univ. A High Sensitivity Realtime Compact Gas Concentration Sensor using UV absorption spectroscopy and Charge Amplifier Circuit
Hidekazu Ishii (Tohoku Univ.), Masaaki Nagase, Nobukazu Ikeda (Fujikin Inc.), Yoshinobu Shiba, Yasuyuki Shirai, Rihito Kuroda, Shigetoshi Sugawa (Tohoku Univ.) SDM2017-56
Metal organic (MO) gases are used in electronic device manufacturing processes such as semiconductors, power devices, LE... [more] SDM2017-56
pp.35-38
SDM 2017-10-26
14:00
Miyagi Niche, Tohoku Univ. Analysis of Random Telegraph Noise Behaviors toward Changes of Source Follower Transistor Operation Conditions using High Accuracy Array Test Circuit
Shinya Ichino, Takezo Mawaki, Akinobu Teramoto, Rihito Kuroda, Shunichi Wakashima, Shigetoshi Sugawa (Tohoku Univ.) SDM2017-60
Behaviors of random telegraph noise (RTN) occurs at CMOS image sensors’ in-pixel source follower transistors (SF) toward... [more] SDM2017-60
pp.57-62
SDM 2016-10-26
14:50
Miyagi Niche, Tohoku Univ. Formation technology of Flat Surface after Selective Epitaxial Growth on Ion-Implanted (100) Oriented Thin SOI Wafers
Kiichi Furukawa, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Shigetoshi Sugawa (Tohoku Univ.), Daisuke Suzuki, Yoichiro Chiba, Katsutoshi Ishii, Akira Shimizu, Kazuhide Hasebe (Tokyo Electron Tohoku) SDM2016-70
 [more] SDM2016-70
pp.9-14
 Results 1 - 20 of 47  /  [Next]  
Choose a download format for default settings. [NEW !!]
Text format pLaTeX format CSV format BibTeX format
Copyright and reproduction : All rights are reserved and no part of this publication may be reproduced or transmitted in any form or by any means, electronic or mechanical, including photocopy, recording, or any information storage and retrieval system, without permission in writing from the publisher. Notwithstanding, instructors are permitted to photocopy isolated articles for noncommercial classroom use without fee. (License No.: 10GA0019/12GB0052/13GB0056/17GB0034/18GB0034)


[Return to Top Page]

[Return to IEICE Web Page]


The Institute of Electronics, Information and Communication Engineers (IEICE), Japan