IEICE Technical Committee Submission System
Conference Schedule
Online Proceedings
[Sign in]
Tech. Rep. Archives
    [Japanese] / [English] 
( Committee/Place/Topics  ) --Press->
 
( Paper Keywords:  /  Column:Title Auth. Affi. Abst. Keyword ) --Press->

All Technical Committee Conferences  (Searched in: All Years)

Search Results: Conference Papers
 Conference Papers (Available on Advance Programs)  (Sort by: Date Descending)
 Results 21 - 40 of 71 [Previous]  /  [Next]  
Committee Date Time Place Paper Title / Authors Abstract Paper #
VLD, CAS, MSS, SIP 2016-06-16
10:10
Aomori Hirosaki Shiritsu Kanko-kan Automatic Test Pattern Generation for Multiple Stuck-At Faults: When Testing for Single Faults is Insufficient
Conrad JinYong Moore, Amir Masoud Gharehbaghi, Masahiro Fujita (Univ. of Tokyo) CAS2016-3 VLD2016-9 SIP2016-37 MSS2016-3
As fabricated circuitry gets larger and denser, modern industrial ATPG techniques which focus on the detection of single... [more] CAS2016-3 VLD2016-9 SIP2016-37 MSS2016-3
pp.13-18
IA 2015-11-12
17:00
Chiba NARITA VIEW HOTEL [Poster Presentation] Implementation of cross layer Pub/Sub middleware and evaluation of join and leave performance
Masahiro Fujita, Toyokazu Akiyama (Kyoto Sangyo Univ.), Yuuichi Teranishi (NICT), Ryohei banno, Katsuyoshi Iida (Tokyo Tech) IA2015-55
Application Layer Multicast(ALM) is one of the major approach to deliver inter-broker messages efficiently in Pub/Sub mi... [more] IA2015-55
pp.95-96
IA 2015-11-12
17:00
Chiba NARITA VIEW HOTEL [Poster Presentation] A tool for constructing Mininet-based emulated networks over multiple nodes to accommodate for CPU-bound applications.
Shintaro Ishihara, Toyokazu Akiyama (Kyoto Sangyo Univ.), Katuyoshi Iida (Tokyo Tech), Masahiro fujita (Kyoto Sangyo Univ.) IA2015-56
Recently many research about OpenFlow are ongoing, and many applications, services and tools related to OpenFlow are dev... [more] IA2015-56
pp.97-99
BioX, ITE-ME, ITE-IST 2015-06-30
11:00
Ishikawa Kanazawa University, Kakuma Campus Bodily Sensation Type Application Privacy Policy -- Will Behavior Improve User's Privacy Awareness? --
Hiroaki Muramatsu, Rion Nishizawa, Takuya Kaneko, Yuto Mano, Masahiro Fujita, Masakatsu Nishigaki (Shizuoka Univ.) BioX2015-11
 [more] BioX2015-11
pp.79-84
ICD 2015-04-17
10:50
Nagano   [Invited Talk] A 128kb 4bit/cell Nonvolatile Memory with Crystalline In-Ga-Zn Oxide FET Using Vt Cancel Write Method
Takanori Matsuzaki, Tatsuya Onuki, Shuhei Nagatsuka, Hiroki Inoue, Takahiko Ishizu, Yoshinori Ieda, Masayuki Sakakura, Tomoaki Atsumi, Yutaka Shionoiri, Kiyoshi Kato, Takashi Okuda, Yoshitaka Yamamoto (SEL), Masahiro Fujita (The Univ. of Tokyo), Jun Koyama, Shunpei Yamazaki (SEL) ICD2015-9
A 128kbit 4bit/cell memory is achieved by a nonvolatile oxide semiconductor RAM test chip with a c-axis aligned crystall... [more] ICD2015-9
pp.39-44
SRW 2014-08-18
14:15
Kanagawa NICT(YRP) Transmission Performance of Adaptive Sensor Collaborative Beamforming with Frequency Offset
Masahiro Fujita, Akane Ogura, Shigeru Tomisato, Satoshi Denno, Masaharu Hata (Okayama Univ.) SRW2014-20
 [more] SRW2014-20
pp.23-28
ICD, SDM 2014-08-04
15:45
Hokkaido Hokkaido Univ., Multimedia Education Bldg. [Invited Talk] A 32-bit CPU with Zero Standby Power and 1.5-clock Backup/2.5-clock Restore Achieved by Utilizing a 180-nm Crystalline Oxide Semiconductor Transistor
Jun Koyama, Atsuo Isobe, Hikaru Tamura, Kiyoshi Kato, Takuro Ohmaru, Wataru Uesugi, Takahiko Ishizu, Kazuaki Ohshima, Yasutaka Suzuki, Naoaki Tsutsui, Tomoaki Atsumi, Yutaka Shionoiri, Yukio Maehashi (SEL), Masahiro Fujita (Univ. of Tokyo), Shunpei Yamazaki (SEL) SDM2014-70 ICD2014-39
A flip-flop achieving high-speed backup utilizing a Si transistor and long-term retention with zero standby power by mea... [more] SDM2014-70 ICD2014-39
pp.45-50
RCC, ASN, NS, RCS, SR
(Joint)
2014-07-31
10:50
Kyoto Kyoto Terrsa [Poster Presentation] Transmission Performance of Adaptive Sensor Collaborative Beamforming
Masahiro Fujita, Shigeru Tomisato, Satoshi Denno, Masaharu Hata (Okayama Univ.) RCC2014-31 NS2014-51 RCS2014-103 SR2014-32 ASN2014-50
This paper evaluates transmission performance and power-saving effect of sensor collaborative beamforming by adaptive tr... [more] RCC2014-31 NS2014-51 RCS2014-103 SR2014-32 ASN2014-50
pp.49-54(RCC), pp.27-32(NS), pp.81-86(RCS), pp.69-74(SR), pp.57-62(ASN)
BioX, ITE-ME, ITE-IST [detail] 2014-06-16
13:30
Ishikawa Kanazawa University, Kakuma Campus A proposal of image-based CAPTCHA using sophisticated mental rotation
Masahiro Fujita, Yuki Ikeya, Junya Kani, Yuta Yoneyama, Masakatsu Nishigaki (Shizuoka Univ.) BioX2014-2
 [more] BioX2014-2
pp.7-12
SRW 2013-08-19
14:40
Tokyo Shibaura Institute of Technology Transmission performance improvement of sensor collaborative beam forming by adaptive transmission
Takuya Beppu, Masahiro Fujita, Shigeru Tomisato, Satoshi Denno, Masaharu Hata (Okayama Univ.) SRW2013-26
This paper proposes a sensor collaborative beam forming method by adaptive transmission in which beam forming and collab... [more] SRW2013-26
pp.33-36
HCGSYMPO
(2nd)
2012-12-10
- 2012-12-12
Kumamoto Kumamoto-Shintoshin-plaza Toward an augmented experiences behavior simulator for understanding behavioral and psychological symptoms of dementia
Masahiro Fujita, Takamasa Nagao (Shizuoka Univ.), Hideki Ueno (Shizuoka Univ./Kaijoryo), Akira Tamai (Tsuruga Onsen Hospital), Shogo Ishikawa, Yoichi Takebayashi (Shizuoka Univ.)
We propose an augmented experiences behavior simulator for understanding Behavioral and Psychological Symptoms of Dement... [more]
IPSJ-SLDM, VLD 2012-05-30
15:45
Fukuoka Kitakyushu International Conference Center High-level Design Debugging Using Potential Dependence
Shohei Ono, Takeshi Matsumoto, Masahiro Fujita (Univ. of Tokyo) VLD2012-4
As high-level design draws more attention and has been adopted more widely, verification and debugging for high- level d... [more] VLD2012-4
pp.19-24
IPSJ-SLDM, VLD 2012-05-31
11:20
Fukuoka Kitakyushu International Conference Center Statistical Analysis and its Hardware Implementation on Simulation Results of Systems with Uncertain Inputs
Kosuke Oshima, Shohei Ono, Takeshi Matsumoto, Masahiro Fujita (Univ. of Tokyo) VLD2012-10
Statistical model checking is a method to analyze systems where variables have some uncertainty. It can be used to check... [more] VLD2012-10
pp.55-60
VLD 2012-03-06
15:05
Oita B-con Plaza High-Level Synthesis for Mixed Behavioral-Level/RTL Design Descriptions
Hiroaki Yoshida, Masahiro Fujita (Univ. of Tokyo) VLD2011-128
It is widely known that high-level synthesis technology can improve the design productivity dramatically by raising the ... [more] VLD2011-128
pp.49-54
RECONF 2011-05-13
09:15
Hokkaido Hokkaido Univ. (Faculty of Eng., B3 Bldg.) *
Akira Fukui, Masahiro Fujita (Tokyo University) RECONF2011-12
Smith-Waterman Algorithm is utilized for alignment of DNA and protein sequences. When an un-
known sample of DNA or pro... [more]
RECONF2011-12
pp.67-72
VLD, DC, IPSJ-SLDM, CPSY, RECONF, ICD, CPM
(Joint) [detail]
2010-11-29
13:50
Fukuoka Kyushu University Rapid SoC Prototyping Based on Virtual Multi-Processor Model
Hiroaki Yoshida, Masahiro Fujita (Univ. of Tokyo/JST) VLD2010-58 DC2010-25
To meet both high performance and high energy efficiency, System-on-Chip (SoC) has a heterogenous architec- ture includi... [more] VLD2010-58 DC2010-25
pp.7-12
VLD, DC, IPSJ-SLDM, CPSY, RECONF, ICD, CPM
(Joint) [detail]
2010-11-29
14:10
Fukuoka Kyushu University A Scalable Heuristic for Incremental High-Level Synthesis
Shohei Ono (Univ. Tokyo), Hiroaki Yoshida, Masahiro Fujita (Univ. of Tokyo/JST) VLD2010-59 DC2010-26
Recently, high-level synthesis techniques have been widely used to achieve
high design productivity by enabling a desig... [more]
VLD2010-59 DC2010-26
pp.13-18
VLD, DC, IPSJ-SLDM, CPSY, RECONF, ICD, CPM
(Joint) [detail]
2010-11-30
11:05
Fukuoka Kyushu University Evaluation of FPGA Implementation Techniques for High-Performance SoC Prototypes
Hideo Tanida (Univ. of Tokyo), Hiroaki Yoshida, Masahiro Fujita (Univ. of Tokyo/JST) VLD2010-68 DC2010-35
With the increasing scale and shrinking time-to-market of SoC systems, prototype implementations of SoCs on FPGAs are co... [more] VLD2010-68 DC2010-35
pp.79-84
DC 2010-06-25
16:15
Tokyo Kikai-Shinko-Kaikan Bldg. An I/O Sequence Slicing Method for Post-silicon Debugging
Yeonbok Lee, Takeshi Matsumoto, Masahiro Fujita (Univ. of Tokyo.) DC2010-13
 [more] DC2010-13
pp.31-36
VLD 2010-03-11
11:15
Okinawa   High-Level Synthesis of Programmable Hardware Accelerators Considering Potential Varieties
Hiroaki Yoshida, Masahiro Fujita (Univ. of Tokyo/JST) VLD2009-110
Recently, programmable hardware accelerators have attracted more attention as an enabling solution for post-silicon engi... [more] VLD2009-110
pp.67-72
 Results 21 - 40 of 71 [Previous]  /  [Next]  
Choose a download format for default settings. [NEW !!]
Text format pLaTeX format CSV format BibTeX format
Copyright and reproduction : All rights are reserved and no part of this publication may be reproduced or transmitted in any form or by any means, electronic or mechanical, including photocopy, recording, or any information storage and retrieval system, without permission in writing from the publisher. Notwithstanding, instructors are permitted to photocopy isolated articles for noncommercial classroom use without fee. (License No.: 10GA0019/12GB0052/13GB0056/17GB0034/18GB0034)


[Return to Top Page]

[Return to IEICE Web Page]


The Institute of Electronics, Information and Communication Engineers (IEICE), Japan