お知らせ 2023年度・2024年度 学生員 会費割引キャンペーン実施中です
お知らせ 技術研究報告と和文論文誌Cの同時投稿施策(掲載料1割引き)について
お知らせ 電子情報通信学会における研究会開催について
お知らせ NEW 参加費の返金について
電子情報通信学会 研究会発表申込システム
研究会 開催スケジュール
技報閲覧サービス
[ログイン]
技報アーカイブ
    [Japanese] / [English] 
研究会名/開催地/テーマ  )→
 
講演検索  検索語:  /  範囲:題目 著者 所属 抄録 キーワード )→

すべての研究会開催スケジュール  (検索条件: すべての年度)

講演検索結果
 登録講演(開催プログラムが公開されているもの)  (日付・降順)
 60件中 21~40件目 [前ページ]  /  [次ページ]  
研究会 発表日時 開催地 タイトル・著者 抄録 資料番号
SDM 2014-06-19
14:05
愛知 名古屋大学 ベンチャー・ビジネス・ラボラトリー ナノ構造中における電子輸送の理論的研究
藤田弦暉塩川太郎筑波大)・高田幸宏東京理科大)・小鍋 哲筑波大)・村口正和東北大)・山本貴博東京理科大)・遠藤哲郎東北大)・初貝安弘筑波大)・白石賢二名大SDM2014-53
ナノスケールチャネル中における電子輸送について長距離電子間相互作用を考慮した計算を行った。 [more] SDM2014-53
pp.55-58
ICD 2014-04-17
14:50
東京 機械振興会館 [依頼講演]1.5ns/2.1nsのランダム読出/書込サイクル時間を達成した不揮発性混載メモリ用1Mb STT-MRAM ~ 6T2MTJセルにバックグラウンド・書き込み方式を適用 ~
大澤 隆・○小池洋紀東北大)・三浦貞彦NEC)・木下啓蔵東北大)・本庄弘明NEC)・池田正二羽生貴弘大野英男遠藤哲郎東北大ICD2014-7
 [more] ICD2014-7
pp.33-38
ICD 2014-04-17
15:15
東京 機械振興会館 [依頼講演]全文検索システム向け階層的パワーゲーティングを活用した低エネルギー不揮発TCAMエンジンチップ
松永翔雲東北大)・崎村 昇根橋竜介杉林直彦NEC)・夏井雅典・○望月 明遠藤哲郎大野英男羽生貴弘東北大ICD2014-8
全文検索システムにおけるエネルギー消費を極限にまで低減させるため,90nm CMOS技術と垂直MTJ技術による1Mb不揮... [more] ICD2014-8
pp.39-44
ICD 2014-04-18
15:15
東京 機械振興会館 [依頼講演]MTJベース不揮発フリップフロップを用いた3μsec-Entry/Exit遅延時間のマイクロプロセッサ
小池洋紀東北大)・崎村 昇根橋竜介辻 幸秀森岡あゆ香三浦貞彦本庄弘明杉林直彦NEC)・大澤 隆池田正二羽生貴弘大野英男遠藤哲郎東北大ICD2014-17
磁気トンネル接合素子(MTJ)による不揮発記憶機能を持つフリップフロップ回路(NV-F/F)を用いた,パワーゲーティング... [more] ICD2014-17
pp.85-90
ICD 2013-04-11
13:30
茨城 産業技術総合研究所 つくばセンター [招待講演]Restructuring of Memory Hierarchy in Computing System with Spintronics-Based Technologies
Tetsuo EndohTohoku Univ.ICD2013-5
現在のコンピュータシステムのメモリ階層においては,性能と消費電力との間のトレードオフが大きくなっており,低消費電力かつ高... [more] ICD2013-5
pp.21-26
ICD 2013-04-11
14:20
茨城 産業技術総合研究所 つくばセンター [依頼講演]32ビット細粒度パワーゲーティングを使った不揮発性混載用1Mb 4T2MTJ STT-RAM ~ 1.0ns/200psのWake-up/Power-off時間を達成 ~
遠藤哲郎大澤 隆小池洋紀東北大)・三浦貞彦本庄弘明徳留圭一NEC)・池田正二羽生貴弘大野英男東北大ICD2013-6
不揮発性でありながら書き込み耐性の良いスピン注入型の磁気トンネル接合素子(STT-MTJ)を用いた4T2MTJのメモリセ... [more] ICD2013-6
pp.27-32
ICD 2013-04-11
14:45
茨城 産業技術総合研究所 つくばセンター [依頼講演]4T-2MTJセル構造に基づく不揮発TCAMチップの実現
松永翔雲東北大)・三浦貞彦本庄弘明NEC)・木下啓蔵池田正二遠藤哲郎大野英男羽生貴弘東北大ICD2013-7
高性能な情報検索機能を実現する並列構造の専用ハードウェア,Ternary Content-Addressable Mem... [more] ICD2013-7
pp.33-38
SDM, ED
(共催)
(ワークショップ)
2012-06-27
13:30
沖縄 沖縄県青年会館 The Asymmetric I-V Characteristics of Vertical MOSFET Induced by Tapered Silicon Pillar
Takuya ImamotoTetsuo EndohTohoku Univ.
In this paper, we show the asymmetric I-V characteristics in... [more]
SDM, ED
(共催)
(ワークショップ)
2012-06-27
13:45
沖縄 沖縄県青年会館 A High Performance SRAM Sense Amplifier with Vertical MOSFET
Hyoungjun NaTetsuo EndohTohoku Univ.
In this paper, a high performance SRAM sense amplifier with ... [more]
ICD 2012-04-24
10:50
岩手 つなぎ温泉清温荘(岩手県) [依頼講演] 3端子磁壁移動型セルを用いた不揮発性コンテントアドレッサブルメモリ
根橋竜介崎村 昇辻 幸秀NEC)・深見俊輔東北大)・本庄弘明齊藤信作三浦貞彦石綿延行NEC)・木下啓蔵羽生貴弘遠藤哲郎笠井直記大野英男東北大)・杉林直彦NECICD2012-10
3端子磁壁移動型セルを用いた不揮発性コンテントアドレッサブルメモリを開発した。90nmのCMOSプロセスを用いて作製した... [more] ICD2012-10
pp.49-54
CPM 2010-10-29
10:25
長野 信州大学 工学部 地域共同研究センター3階研修室 パルスモードホットメッシュCVD法によるGaN成長条件の最適化
永田一樹里本宗一長岡技科大)・片桐裕則神保和夫長岡高専)・末光眞希遠藤哲郎伊藤 隆東北大)・中澤日出樹弘前大)・成田 克山形大)・○安井寛治長岡技科大CPM2010-102
紫外・青紫LED, LD、また高温環境下で動作する電子デバイス用材料であるGaNの省資源成長法として期待されるホットメッ... [more] CPM2010-102
pp.55-58
ED, SDM
(共催)
2010-07-02
12:00
東京 東工大 大岡山キャンパス The Analysis of Temperature Dependency of the Mobility In High-k/Metal Gate MOSFET and the Performance on its CMOS Inverter
Takeshi SasakiTakuya ImamotoTetsuo EndohTohoku Univ.ED2010-92 SDM2010-93
As the integration density and capacitance of semiconductor ... [more] ED2010-92 SDM2010-93
pp.177-182
ED, SDM
(共催)
2010-07-02
12:45
東京 東工大 大岡山キャンパス Evaluation of 1/f Noise Characteristics in High-k/Metal Gate and SiON/Poly-Si Gate MOSFET
Takuya ImamotoTakeshi SasakiTetsuo EndohTohoku Univ.ED2010-95 SDM2010-96
In this paper, we compare the 1/f noise characteristics of H... [more] ED2010-95 SDM2010-96
pp.195-198
ED, SDM
(共催)
2010-07-02
15:05
東京 東工大 大岡山キャンパス Impact of Floating Body type DRAM with the Vertical MOSFET
Yuto NorifusaTetsuo EndohTohoku Univ./JSTED2010-98 SDM2010-99
Several kinds of capacitor-less DRAM cells based on planar S... [more] ED2010-98 SDM2010-99
pp.211-216
ED, SDM
(共催)
2010-07-02
16:05
東京 東工大 大岡山キャンパス The optimum physical targets of the 3-dimensional vertical FG NAND flash memory cell arrays with the extended sidewall control gate (ESCG) structure
Moon-Sik SeoTohoku Univ.)・Tetsuo EndohTohoku Univ./JSTED2010-101 SDM2010-102
Recently, the 3-dimensional vertical Floating Gate (FG) NAND... [more] ED2010-101 SDM2010-102
pp.225-230
ED, SDM
(共催)
2010-07-02
11:35
東京 東工大 大岡山キャンパス The Impact of Current Controlled-MOS Current Mode Logic /Magnetic Tunnel Junction Hybrid Circuit for Stable and High-speed Operation
Tetsuo EndohMasashi KamiyanagiMasakazu MuraguchiTakuya ImamotoTakeshi SasakiTohoku Univ.ED2010-109 SDM2010-110
In order to realize Integrated Circuits (IC) with operation ... [more] ED2010-109 SDM2010-110
pp.257-262
ED, SDM
(共催)
2010-07-02
11:50
東京 東工大 大岡山キャンパス Verification of Stable Circuit Operation of 180nm Current Controlled MOS Current Mode Logic under Threshold Voltage Fluctuation
Masashi KamiyanagiTakuya ImamotoTakeshi SasakiHyoungjun NaTetsuo EndohTohoku Univ.ED2010-110 SDM2010-111
We have succeeded in the verification of stable circuit oper... [more] ED2010-110 SDM2010-111
pp.263-267
ED, SDM
(共催)
2010-07-02
12:50
東京 東工大 大岡山キャンパス Over 1GHz High-Speed Current Pulse Generation Circuit for Novel Nonvolatile Memory Cells
Tetsuo EndohYasuhiko SuzukiTakuya ImamotoHyoungjun NaTohoku Univ.ED2010-114 SDM2010-115
In this paper, a new 2 step program method is proposed to re... [more] ED2010-114 SDM2010-115
pp.283-288
ED, SDM
(共催)
2010-07-02
15:45
東京 東工大 大岡山キャンパス Study on Impurity Distribution Dependence of Electron-Dynamics in Vertical MOSFET
Masakazu MuraguchiTetsuo EndohTohoku Univ./JSTED2010-120 SDM2010-121
In this study, we focus on the electron propagation in the V... [more] ED2010-120 SDM2010-121
pp.309-313
ED, SDM
(共催)
2010-07-02
16:15
東京 東工大 大岡山キャンパス Study on Collective Electron Motion in Si-Nano Dot Floating Gate MOS Capacitor
Masakazu MuraguchiTohoku Univ.)・Yoko SakuraiYukihiro TakadaShintaro NomuraKenji ShiraishiUniv. of Tsukuba.)・Mitsuhisa IkedaKatsunori MakiharaSeiichi MiyazakiHiroshima Univ.)・Yasuteru ShigetaUniv. of Hyogo)・Tetsuo EndohTohoku Univ.ED2010-122 SDM2010-123
The efficiency and stability of electron injection from the ... [more] ED2010-122 SDM2010-123
pp.319-324
 60件中 21~40件目 [前ページ]  /  [次ページ]  
ダウンロード書式の初期値を指定してください NEW!!
テキスト形式 pLaTeX形式 CSV形式 BibTeX形式
著作権について : 以上の論文すべての著作権は電子情報通信学会に帰属します.(許諾番号:10GA0019/12GB0052/13GB0056/17GB0034/18GB0034)


[研究会発表申込システムのトップページに戻る]

[電子情報通信学会ホームページ]


IEICE / 電子情報通信学会