IEICE Technical Committee Submission System
Conference Schedule
Online Proceedings
[Sign in]
Tech. Rep. Archives
    [Japanese] / [English] 
( Committee/Place/Topics  ) --Press->
 
( Paper Keywords:  /  Column:Title Auth. Affi. Abst. Keyword ) --Press->

Technical Committee on Integrated Circuits and Devices (ICD)  (Searched in: 2006)

Search Results: Keywords 'from:2006-08-17 to:2006-08-17'

[Go to Official ICD Homepage (Japanese)] 
Search Results: Conference Papers
 Conference Papers (Available on Advance Programs)  (Sort by: Date Ascending)
 Results 1 - 20 of 29  /  [Next]  
Committee Date Time Place Paper Title / Authors Abstract Paper #
ICD, SDM 2006-08-17
09:05
Hokkaido Hokkaido University A super parallel SIMD processor with Time/Space conversion Bus Bridge on the Matrix Architecture
Tetsushi Tanizaki, Takayuki Gyohten, Hideyuki Noda, Masami Nakajima, Katsuya Mizumoto, Katsumi Dosaka (Renesas)
A super parallel SIMD processor based on the matrix architecture which consists of 2k processors, embedded SRAM, and tim... [more] SDM2006-125 ICD2006-79
pp.1-6
ICD, SDM 2006-08-17
09:30
Hokkaido Hokkaido University A 0.79mm2 29mW Real-Time Face Detection Core
Yuichi Hori, Tadahiro Kuroda (Keio Univ.)
 [more] SDM2006-126 ICD2006-80
pp.7-11
ICD, SDM 2006-08-17
09:55
Hokkaido Hokkaido University A supply voltage adjustment technique for low power consumption and its application to SOCs with multiple threshold voltage CMOS
Hiroshi Okano, Tetsuyoshi Shiota, Yukihito Kawabe (Fujitsu lab.), Wataru Shibamoto (Fujitsu), Tetsutaro Hashimoto, Atsuki Inoue (Fujitsu lab.)
An energy-saving technique for SOCs using multiple threshold voltage CMOS was developed. It uses process sensors and pro... [more] SDM2006-127 ICD2006-81
pp.13-18
ICD, SDM 2006-08-17
10:20
Hokkaido Hokkaido University Low power delay-insensitive asynchronous curcuits using 1-out-of-4 encoding.
Tomohiro Fujii, Masashi Imai, Hiroshi Nakamura, Takashi Nanya (Univ. of Tokyo)
 [more] SDM2006-128 ICD2006-82
pp.19-24
ICD, SDM 2006-08-17
10:55
Hokkaido Hokkaido University A 1-ps resolution on-chip sampling oscilloscope with 64:1 tunable sampling range based on ramp waveform division scheme
Kenichi Inagaki (Univ. of Tokyo), Danardono Dwi Antono (SONY), Makoto Takamiya (Univ. of Tokyo), Shigetaka Kumashiro (NEC Electronics), Takayasu Sakurai (Univ. of Tokyo)
An on-chip sampling oscilloscope with 1ps timing resolution is realized in 90nm CMOS process based on a proposed ramp wa... [more] SDM2006-129 ICD2006-83
pp.25-30
ICD, SDM 2006-08-17
11:20
Hokkaido Hokkaido University A CMOS Monitoring Sensor for Guaranteeing the Quality of Various Perishables with a Wide Range of Activation Energy
Ken Ueno, Tetsuya Hirose, Tetsuya Asai, Yoshihito Amemiya (Hokkaido Univ.)
 [more] SDM2006-130 ICD2006-84
pp.31-36
ICD, SDM 2006-08-17
11:45
Hokkaido Hokkaido University Critical temperature switch circuit with CMOS subthreshold region
Atsushi Hagiwara, Tetsuya Hirose, Tetsuya Asai, Yoshihito Amemiya (Hokkaido Univ.)
We propose a thermosensing circuit that changes its internal state abruptly at a threshold temperature. The circuit swit... [more] SDM2006-131 ICD2006-85
pp.37-42
ICD, SDM 2006-08-17
12:10
Hokkaido Hokkaido University Ultra Low-Power Low-noise Amplifier Using The MOS Capacitor Amplifier
Tomotoshi Murakami, Mamoru Sasaki, Atsushi Iwata (Hiroshima Univ.)
In this paper, we propose a low noise amplifier with low power consumption, for biosensor LSI . Low-power consumption an... [more] SDM2006-132 ICD2006-86
pp.43-46
ICD, SDM 2006-08-17
13:30
Hokkaido Hokkaido University [Special Invited Talk] Development of SOI-Based 7.5μm-Thick 0.15x0.15mm2 RFID Chip
Mitsuo Usami (Hitachi)
This paper presents a newly developed radio frequency identification (RFID) chip that is ultra-thin (7.5µm) and ul... [more] SDM2006-133 ICD2006-87
pp.47-50
ICD, SDM 2006-08-17
14:20
Hokkaido Hokkaido University Analysis of Junction Capacitance effect in Dickson Charge Pump for RF-ID
Yasufumi Sakai, Koji Kotani, Takashi Ito (Tohoku Univ.)
 [more] SDM2006-134 ICD2006-88
pp.51-56
ICD, SDM 2006-08-17
14:45
Hokkaido Hokkaido University A 1-V 299uW Flashing UWB Transceiver Based on Double Thresholding Scheme
Makoto Takamiya, Atit Tamtrakarn (Univ. of Tokyo), Hiroki Ishikuro (Keio Univ.), Koichi Ishida (Tokyo Tech), Takayasu Sakurai (Univ. of Tokyo)
This paper presents an Ultra-Wide-Band transceiver based on a newly proposed double thresholding scheme. The scheme does... [more] SDM2006-135 ICD2006-89
pp.57-61
ICD, SDM 2006-08-17
15:10
Hokkaido Hokkaido University Daisy Chain for Power Reduction in Inductive-Coupling CMOS Link
Mari Inoue, Noriyuki Miura, Kiichi Niitsu (Keio Univ.), Yoshihiro Nakagawa, Masamoto Tago, Muneo Fukaishi (NEC Corp.), Takayasu Sakurai (Univ. of Tokyo), Tadahiro Kuroda (Keio Univ.)
 [more] SDM2006-136 ICD2006-90
pp.63-68
ICD, SDM 2006-08-17
15:45
Hokkaido Hokkaido University Noncontact serial transmission system using inductive coupling of spiral inductor pair
Bin Yan, Mamoru Sasaki, Atsushi Iwata (Hiroshima Univ.)
This paper describes a contactless interface serial transmission system using the inductive coupling of spiral inductor ... [more] SDM2006-137 ICD2006-91
pp.69-74
ICD, SDM 2006-08-17
16:10
Hokkaido Hokkaido University A 20-GHz Injection-Locked LC Divider with a 25-% Locking Range
Takayuki Shibasaki (Keio Univ.), Hirotaka Tamura, Kouichi Kanda, Hisakatsu Yamaguchi, Junji Ogawa (Fujitsu Laboratories LTD.), Tadahiro Kuroda (Keio Univ.)
 [more] SDM2006-138 ICD2006-92
pp.75-79
ICD, SDM 2006-08-17
16:35
Hokkaido Hokkaido University 17GHz Fine Grid Clock Distribution with Uniform-Amplitude Standing-Wave Oscillator
Atsushi Mori, Mamoru Sasaki, Mitsuru Shiozaki, Atsushi Iwata (Hiroshima Univ.), Hiroaki Ikeda (Elpida)
 [more] SDM2006-139 ICD2006-93
pp.81-85
ICD, SDM 2006-08-17
17:00
Hokkaido Hokkaido University Low Dynamic Power and High Speed 90-nm CMOS Clock Driver
Yousuke Hagiwara, Suguru Nagayama, Nobuaki Kobayashi, Tadayoshi Enomoto (Chuo Uni.)
The power dissipation (PT) and delay time (tT) of CMOS clock network, that consisted of a clock driver and register circ... [more] SDM2006-140 ICD2006-94
pp.87-92
ICD, SDM 2006-08-17
17:25
Hokkaido Hokkaido University An Ultra-Wide Range Digitally Adaptive Control Phase Locked Loop with New 3-Phase Switched Capacitor Loop Filter
Shiro Dosho, Naoshi Yanagisawa, Kazuaki Sogawa, Yuji Yamada, Takashi Morie (Matsushita Indusitrial Co. Ltd.)
It is an innovative idea for modern PLL generation to control the bandwidth proportionally to a reference frequency. Rec... [more] SDM2006-141 ICD2006-95
pp.93-98
ICD, SDM 2006-08-18
09:00
Hokkaido Hokkaido University A Test Structure to Separately Analyze CMOSFET Reliabilities along The Channel Width
Takashi Ohzone, Eiji Ishii, Takayuki Morishita, Kiyotaka Komoku (Okayama Pref. Univ.), Toshihiro Matsuda, Hideyuki Iwata (Toyama Pref. Univ.)
A test structure with four kinds of MOSFETs(i.e., [A]([D]) with a short(long) channel-length all over the channel width,... [more] SDM2006-142 ICD2006-96
pp.99-104
ICD, SDM 2006-08-18
09:25
Hokkaido Hokkaido University Experimental Study on Breakdown of Mobility Universality in (110)-oriented <100>-directed pMOSFETs
Ken Shimizu, Takuya Saraya, Toshiro Hiramoto (Univ. of Tokyo)
(110)-oriented pMOSFET is a promising choice for future CMOS device. However, physical origin of breakdown on mobility u... [more] SDM2006-143 ICD2006-97
pp.105-109
ICD, SDM 2006-08-18
09:50
Hokkaido Hokkaido University Parameter and Random Dopant Fluctuation on Fully-Depleted SOI MOSFETs with a Very Thin BOX
Tetsu Ohtou (Univ. Tokyo), Nobuyuki Sugii (R&D Group, Hitachi, Ltd.,), Toshiro Hiramoto (Univ. Tokyo)
 [more] SDM2006-144 ICD2006-98
pp.111-114
 Results 1 - 20 of 29  /  [Next]  
Choose a download format for default settings. [NEW !!]
Text format pLaTeX format CSV format BibTeX format


[Return to Top Page]

[Return to IEICE Web Page]


The Institute of Electronics, Information and Communication Engineers (IEICE), Japan