IEICE Technical Committee Submission System
Conference Schedule
Online Proceedings
[Sign in]
Tech. Rep. Archives
    [Japanese] / [English] 
( Committee/Place/Topics  ) --Press->
 
( Paper Keywords:  /  Column:Title Auth. Affi. Abst. Keyword ) --Press->

All Technical Committee Conferences  (Searched in: All Years)

Search Results: Conference Papers
 Conference Papers (Available on Advance Programs)  (Sort by: Date Descending)
 Results 1 - 20 of 55  /  [Next]  
Committee Date Time Place Paper Title / Authors Abstract Paper #
SDM 2024-02-21
10:45
Tokyo Tokyo University-Hongo-Engineering Bldg.4
(Primary: On-site, Secondary: Online)
[Invited Talk] Development of Superconducting Nb Interconnects for Low-Temperature SoC for Qubit Control
Hideaki Numata, Noriyuki Iguchi (NBS), Masamitsu Tanaka (Nagoya Univ.), Koichiro Okamoto, Sadahiko Miura (NBS), Ken Uchida (UTokyo), Hiroki Ishikuro (Keio Univ.), Toshitsugu Sakamoto, Munehiro Tada (NBS) SDM2023-82
A 100 nm wide superconducting Nb interconnects were fabricated by a 300-mm wafer process for low temperature SoC applica... [more] SDM2023-82
pp.4-8
CPSY, IPSJ-ARC, IPSJ-HPC 2023-12-05
14:25
Okinawa Okinawa Industry Support Center
(Primary: On-site, Secondary: Online)
Design of Resettable and Non-Destructive Readable TFF for Half-Flux-Quantum Circuits
Yuki Matsumoto (Kyushu Univ.), Toranosuke Nakayama, Shoma Tanemura, Masamitsu Tanaka (Nagoya Univ.), Takatsugu Ono (Kyushu Univ.) CPSY2023-29
(To be available after the conference date) [more] CPSY2023-29
pp.13-18
SCE 2023-08-08
13:00
Kanagawa Yokohama National Univ.
(Primary: On-site, Secondary: Online)
Detail Design of Impulse-Driven Memory Cell Based on Analysis of Dynamic Behaviors
Taichi Sato, Hinata Fujisawa, Masayuki Higashi, Yuto Takeshita, Feng Li, Masamitsu Tanaka, Akira Fujimaki (Nagoya Univ.) SCE2023-6
We report on the detailed design of an impulse-driven memory cell that fundamentally solves the problems of conventional... [more] SCE2023-6
pp.28-33
SCE 2022-08-09
11:25
Online Online Analysis of pi-junction-based SQUID to obtain strong magnetic coupling
Masayuki Higashi, Yuto Takeshita, Hinata Fujisawa, Feng Li, Masamitsu Tanaka, Akira Fujimaki (Nagoya Univ.) SCE2022-5
Magnetic coupling is often required in superconducting circuits, where high coupling coefficients are required. In this ... [more] SCE2022-5
pp.24-29
SCE 2021-11-15
16:30
Online Online Pi-phase shift in NbN-based Josephson junctions with weak ferromagnet Pd89Ni11 interlayer
Duong Pham, Riku Sugimoto, Kenjiro Oba, Yuto Takeshita, Feng Li, Masamitsu Tanaka, Taro Yamashita, Akira Fujimaki (Nagoya Univ.) SCE2021-10
We demonstrated the $B&P(B-phase shift in NbN-based Josephson junction with a weak ferromagnetic Pd89Ni11 interlayer (... [more] SCE2021-10
pp.15-18
SCE 2021-08-06
15:00
Online Online High-Throughput Low-Latency Single-Flux-Quantum Circuits with Feedback Path
Ryota Kashima, Ikki Nagaoka, Tomoki Nakano, Masamitsu Tanaka, Taro Yamashita, Akira Fujimaki (Nagoya Univ.) SCE2021-5
We have introduced bit-parallel processing into high-speed, low-power microprocessors based on single-flux-quantum circu... [more] SCE2021-5
pp.19-24
SCE 2021-08-06
15:25
Online Online Evaluation of SQUIDs with the period of half flux quantum in the modulation pattern
Yuto Takeshita, Masayuki Higashi, Feng Li, Masamitsu Tanaka, Taro Yamashita, Akira Fujimaki (Nagoya Univ.) SCE2021-6
 [more] SCE2021-6
pp.25-30
SCE 2021-01-19
15:25
Online Online Investigation of Operating Frequency of Low-Power Single-Flux-Quantum Circuits
Manami Kuniyoshi, Ken Murase, Ikki Nagaoka, Masamitsu Tanaka, Taro Yamashita, Akira Fujimaki (Nagoya Univ.) SCE2020-22
To reduce the power consumption of single-flux-quantum circuits, it is an effective method to reduce the critical curren... [more] SCE2020-22
pp.30-35
SCE 2020-11-26
10:20
Online Online Fabrication of NbN based ferromagnetic Josephson junction with PdNi barrier layer
Riku Sugimoto, Hayate Nakamura, Duong Pham, Masamitsu Tanaka, Taro Yamashita, Akira Fujimaki (Nagoya Univ.) SCE2020-14
We develop ferromagnetic Josephson junctions to realize the flux-bias-free superconducting flux quantum bit (qubit) with... [more] SCE2020-14
pp.29-33
EID, ITE-IDY, IEIJ-SSL, SID-JC, IEE-EDD [detail] 2020-01-23
13:40
Tottori Tottori Univ. [Poster Presentation] The characterization of PL filter using Guest-Host liquid crystal
Masahiro Ito (Sanyo-Onoda City Univ.), Hiroki Tanaka, Eriko Fukuda, Hiroyuki Suko (SOCU), Masamitsu Tanaka, Shingo Furukawa, Shigetoshi Hayata (SEIKO ELECTRIC CO., LTD), Kohki Takatoh (SOCU)
PL filter using guest host liquid crystal device (GH-LCD: liquid crystal with dichroic dye) has already been developed. ... [more]
SCE 2020-01-17
13:15
Kanagawa   [Poster Presentation] High-Throughput Gate-Level-Pipelined SFQ Multipliers
Ikki Nagaoka, Masamitsu Tanaka, Kyosuke Sano, Taro Yamashita (Nagoya Univ.), Koji Inoue (Kyushu Univ.), Akira Fujimaki (Nagoya Univ.) SCE2019-30
 [more] SCE2019-30
pp.1-4
SCE 2020-01-17
13:15
Kanagawa   [Poster Presentation] Design of Datapath for 8-bit Parallel SFQ Microprocessors with Gate-Level Pipelines
Ryota Kashima, Ikki Nagaoka, Masamitsu Tanaka, Kyosuke Sano, Taro Yamashita, Akira Fujimaki (Nagoya Univ.) SCE2019-31
 [more] SCE2019-31
pp.5-9
SCE 2020-01-17
13:15
Kanagawa   [Poster Presentation] Simulation and Comparison of the Energy Efficiency of Half Flux Quantum Circuits
Feng Li, Yuto Takeshita, Daiki Hasegawa, Kyosuke Sano, Masamitsu Tanaka, Taro Yamashita, Akira Fujimaki (Nagoya Univ.) SCE2019-38
 [more] SCE2019-38
pp.37-40
SCE 2020-01-17
13:15
Kanagawa   [Poster Presentation] Demonstration of SQUIDs with a Period of Half Flux Quantum in Modulation Patterns for Half Flux Quantum Circuits
Yuto Takeshita, Feng Li, Daiki Hasegawa, Kyosuke Sano, Masamitsu Tanaka, Taro Yamashita, Akira Fujimaki (Nagoya Univ.) SCE2019-39
 [more] SCE2019-39
pp.41-43
SCE 2020-01-17
13:15
Kanagawa   [Poster Presentation] Fabrication of Magnetic Josephson Junctions on Nb 4-layer Structure for Large Scale Half Flux Quantum Circuits
Daiki Hasegawa, Yuto Takeshita, Feng Li, Kyosuke Sano, Masamitsu Tanaka, Taro Yamashita, Akira Fujimaki (Nagoya Univ.) SCE2019-40
 [more] SCE2019-40
pp.45-48
SCE 2020-01-17
13:15
Kanagawa   [Poster Presentation] Investigation of Timing Design by Using Low-Power SFQ Shift Registers
Manami Kuniyoshi, Ken Murase, Ikki Nagaoka, Kyosuke Sano, Masamitsu Tanaka, Taro Yamashita, Akira Fujimaki (Nagoya Univ) SCE2019-48
 [more] SCE2019-48
pp.75-78
SCE 2019-10-09
14:35
Miyagi   Fabrication of NbN/AlN/CuNi/NbN ferromagnetic Josephson junctions toward superconducting qubit
Haruki Kato, Hayate Nakamura, Masamitsu Tanaka, Taro Yamashita, Akira Fujimaki (Nagoya Univ.) SCE2019-22
We develop the flux-bias-free phase-shift superconducting flux quantum bit (qubit) with ferromagnetic Josephson junction... [more] SCE2019-22
pp.1-5
SCE 2019-08-09
13:55
Ibaraki National Institute of Advanced Industrial Science and Technology Study on superconductor nano-structured line drivers in random access memories
Kyosuke Sano, Naoki Kondo, Masamitsu Tanaka (Nagoya Univ.), Taro Yamashita (Nagoya Univ./JST-PRESTO), Masumi Inoue (Meijo Univ.), Akira Fujimaki (Nagoya Univ.) SCE2019-15
 [more] SCE2019-15
pp.37-41
SCE 2019-01-23
13:30
Tokyo   Development of 30-GHz Datapath for Bit-Parallel, Gate-Level-Pipelined Rapid Single-Flux-Quantum Microprocessors
Ikki Nagaoka (Nagoya Univ), Yuki Hatanaka (Mitsubishi Elec), Yuichi Matsui (Nagoya Univ), Koki Ishida (Kyushu Univ), Masamitsu Tanaka, Kyosuke Sano, Taro Yamashita (Nagoya Univ), Takatsugu Ono, Koji Inoue (Kyushu Univ), Akira Fujimaki (Nagoya Univ) SCE2018-30
We have started development of high-throughput single-flux-quantum (SFQ) microprocessors with the aim of higher throughp... [more] SCE2018-30
pp.29-34
SCE 2018-10-10
16:15
Miyagi   Design and evaluation of superconducting nanostructured transistors for SFQ-CMOS hybrid memory
Kyosuke Sano, Kohei Maruyama, Masamitsu Tanaka (Nagoya Univ.), Taro Yamashita (Nagoya Univ./JST PRESTO), Masumi Inoue (Meijo Univ.), Akira Fujimaki (Nagoya Univ.) SCE2018-21
 [more] SCE2018-21
pp.25-30
 Results 1 - 20 of 55  /  [Next]  
Choose a download format for default settings. [NEW !!]
Text format pLaTeX format CSV format BibTeX format
Copyright and reproduction : All rights are reserved and no part of this publication may be reproduced or transmitted in any form or by any means, electronic or mechanical, including photocopy, recording, or any information storage and retrieval system, without permission in writing from the publisher. Notwithstanding, instructors are permitted to photocopy isolated articles for noncommercial classroom use without fee. (License No.: 10GA0019/12GB0052/13GB0056/17GB0034/18GB0034)


[Return to Top Page]

[Return to IEICE Web Page]


The Institute of Electronics, Information and Communication Engineers (IEICE), Japan