IEICE Technical Committee Submission System
Conference Schedule
Online Proceedings
[Sign in]
Tech. Rep. Archives
    [Japanese] / [English] 
( Committee/Place/Topics  ) --Press->
 
( Paper Keywords:  /  Column:Title Auth. Affi. Abst. Keyword ) --Press->

All Technical Committee Conferences  (Searched in: All Years)

Search Results: Conference Papers
 Conference Papers (Available on Advance Programs)  (Sort by: Date Descending)
 Results 41 - 55 of 55 [Previous]  /   
Committee Date Time Place Paper Title / Authors Abstract Paper #
SCE 2011-07-13
16:05
Tokyo Kikai-Shinko-Kaikan Bldg. Nano-watt demonstration of single-flux-quantum circuits
Atsushi Kitayama, Masato Ito, Tomohito Kouketsu, Tetsuya Kusumoto, Masamitsu Tanaka, Akira Fujimaki (Nagoya Univ.) SCE2011-10
We have demonstrated rapid-single-flux-quantum (RSFQ) circuits with reduced power consumptions by lowering bias voltages... [more] SCE2011-10
pp.53-58
VLD 2011-03-04
10:00
Okinawa Okinawaken-Danjo-Kyodo-Sankaku Center A Routing Method for Multi-Layer Single Flux Quantum Circuits with Wire Ordering based on Slack Allocation
Shota Takeshima, Kazuyoshi Takagi, Masamitsu Tanaka (Nagoya Univ.), Naofumi Takagi (Kyoto Univ.) VLD2010-137
 [more] VLD2010-137
pp.123-128
SCE 2010-10-19
15:35
Tokyo Kikai-Shinko-Kaikan Bldg. Demonstration of a 2x2 Single-Flux-Quantum Reconfigurable Data-Path Based on the 10-kA/cm2 Process
Masakazu Okada, Irina Kataeva, Masato Ito, Masamitsu Tanaka, Hiroyuki Akaike, Akira Fujimaki (Nagoya Univ.), Nobuyuki Yoshikawa (Yokohama National Univ.), Shuuichi Nagasawa (ISTEC), Naofumi Takagi (Kyoto Univ.) SCE2010-33
We have demonstrated a reconfigurable data-path (RDP) prototype using the single-flux-quantum (SFQ) circuits fabricated ... [more] SCE2010-33
pp.55-60
SCE 2010-07-22
11:35
Tokyo Kikai-Shinko-Kaikan Bldg. Fault Modeling and Test Generation for Single Flux Quantum Logic Circuits
Nobutaka Kito (Kyoto Univ.), Masamitsu Tanaka, Kazuyoshi Takagi (Nagoya Univ.), Naofumi Takagi (Kyoto Univ.) SCE2010-19
This report discusses fault modeling and test generation for
Single Flux Quqntum(SFQ) logic circuits.
SFQ circuits ar... [more]
SCE2010-19
pp.31-35
SCE 2010-07-22
13:15
Tokyo Kikai-Shinko-Kaikan Bldg. Investigation to Speed Up Single-flux-quantum Circuits by Applying Higher Bias Voltage
Masamitsu Tanaka, Hiroyuki Akaike, Akira Fujimaki, Kazuyoshi Takagi (Nagoya Univ./JST), Nobuyuki Yoshikawa (Yokohama National Univ./JST), Shuichi Nagasawa (SRL/JST), Naofumi Takagi (Kyoto Univ./JST) SCE2010-20
 [more] SCE2010-20
pp.37-40
SCE 2010-07-22
13:40
Tokyo Kikai-Shinko-Kaikan Bldg. Demonstration of a 4x4 SFQ switch fabricated with the ISTEC 10-kA/cm2 Nb Advanced process 2
Masato Ito, Irina Kataeva, Masakazu Okada, Tomohito Kouketsu, Masamitsu Tanaka, Hiroyuki Akaike, Akira Fujimaki (Nagoya Univ.) SCE2010-21
We have evaluated the performance of the 4×4 SFQ switch which was designed and fabricated using ISTEC Advanced process ... [more] SCE2010-21
pp.41-46
SCE 2009-10-20
13:00
Tokyo Kikai-Shinko-Kaikan Bldg. A Logic Design Verification Method for SFQ Circuits Considering Pipeline Processing Behavior
Motoki Sato, Masamitsu Tanaka, Kazuyoshi Takagi, Naofumi Takagi (Nagoya Univ) SCE2009-17
We propose a verification method of pipeline processing behavior of SFQ circuits. SFQ logic circuits work synchronously ... [more] SCE2009-17
pp.1-6
SCE 2008-10-30
14:50
Ibaraki AIST Demonstration of a Single-Flux-Quantum Floating-Point Divider for the Reconfigurable Data-path
Masamitsu Tanaka, Koji Obata, Kazuyoshi Takagi, Naofumi Takagi (Nagoya Univ.), Nobuyuki Yoshikawa (Yokohama National Univ.) SCE2008-27
We report implementation and experimental results of a single-flux-quantum (SFQ) floating-point serial divider developed... [more] SCE2008-27
pp.29-34
SCE 2008-10-30
15:55
Ibaraki AIST Automated Routing Method for Multi-Layer SFQ Circuits
Shota Takeshima, Masamitsu Tanaka, Kazuyoshi Takagi, Naofumi Takagi (Nagoya Univ.) SCE2008-29
Recently, a lot of researches on designing digital circuits using Single
Flux Quantum (SFQ) logic circuits have been c... [more]
SCE2008-29
pp.39-44
SCE 2008-10-30
16:45
Ibaraki AIST Research on effective moat configuration for Nb multi-layer device structure for a cell library
Kan Fujiwara, Shuichi Nagasawa, Mutsuo Hidaka (SRL/CREST-JST), Nobuyuki Yoshikawa (Yokohama National Univ./CREST-JST), Masamitsu Tanaka, Hiroyuki Akaike, Akira Fujimaki, Kazuyoshi Takagi, Naofumi Takagi (Nagoya Univ./CREST-JST) SCE2008-31
 [more] SCE2008-31
pp.51-56
SCE 2008-01-25
15:45
Tokyo Kikai-Shinko-Kaikan Bldg. Design and implementation of the SFQ half-precision floating point adder
Heejoung Park, Yuki Yamanashi, Kazuhiro Taketomi, Nobuyuki Yoshikawa (Yokohama National Univ.), Masamitsu Tanaka, Koji Obata, Yuki Itou, Akira Fujimaki, Naofumi Takagi, Kazuyoshi Takagi (Nagoya Univ.)
A new project was started to develop a large-scale reconfigurable data-path (LSRDP) based on the single-flux-quantum (SF... [more] SCE2007-31
pp.35-40
SCE 2008-01-25
16:10
Tokyo Kikai-Shinko-Kaikan Bldg. Design and Implementation of SFQ Half-precision Floating Point Multiplier
Hiroshi Hara (Yokohama Nat Univ.), Koji Obata (Nagoya Univ.), Heejoung Park, Yuki Yamanashi, Kazuhiro Taketomi, Nobuyuki Yoshikawa (Yokohama Nat Univ.), Masamitsu Tanaka, Yuki Itou, Akira Fujimaki, Naofumi Takagi, Kazuyoshi Takagi (Nagoya Univ.)
We are developing a large-scale reconfigurable data path (LSRDP) using single-flux-quantum circuits as a fundamental tec... [more] SCE2007-32
pp.41-45
LQE 2007-05-25
10:20
Toyama Kanazawa Univ. Improvement and integration of waveguide dye-film lasers -- Pen-drawing Fabrication and DFB/DBR Laser by Surface-relief Grating --
Yuji Oki (ISEE, Kyushu Univ.), Masamitsu Tanaka (Seiko Electric), Tsuyoshi Obuchi (Toyota), Hirofumi Watanabe (ISEE, Kyushu Univ.), Mitsuo Maeda (Kurume-nct) LQE2007-3
File-dye-lasers as waveguided and integrable tunable source were studied. A novel fabrication technique, name Pen-Drawin... [more] LQE2007-3
pp.9-12
SCE 2007-01-26
10:55
Tokyo SRL Design and Implementation of Bit-Slice Adder
Heejoung Park, Yuki Yamanashi, Nobuyuki Yoshikawa (Yokohama National Univ.), Masamitsu Tanaka, Akira Fujimaki (Nagoya Univ.), Hirotaka Terai (NICT), Shinichi Yorozu (NEC)
Recently, a lot of researches on designing digital circuits by using SFQ logic circuits have been carried out extensivel... [more] SCE2006-32
pp.13-18
SCE 2007-01-26
11:20
Tokyo SRL Development of pipelined bit-serial single-flux-quantum microprocessors
Masamitsu Tanaka (Nagoya Univ.), Yuki Yamanashi (Yokohama National Univ.), Naoki Irie (Nagoya Univ.), Heejoung Park (Yokohama National Univ.), Shingo Iwasaki (Nagoya Univ.), Kazuhiro Taketomi (Yokohama National Univ.), Akira Fujimaki (Nagoya Univ.), Nobuyuki Yoshikawa (Yokohama National Univ.), Hirotaka Terai (NICT), Shinichi Yorozu (NEC)
A pipelined single-flux-quantum microprocessor, called CORE1$\beta$ has been designed and its perfect operations have be... [more] SCE2006-33
pp.19-24
 Results 41 - 55 of 55 [Previous]  /   
Choose a download format for default settings. [NEW !!]
Text format pLaTeX format CSV format BibTeX format
Copyright and reproduction : All rights are reserved and no part of this publication may be reproduced or transmitted in any form or by any means, electronic or mechanical, including photocopy, recording, or any information storage and retrieval system, without permission in writing from the publisher. Notwithstanding, instructors are permitted to photocopy isolated articles for noncommercial classroom use without fee. (License No.: 10GA0019/12GB0052/13GB0056/17GB0034/18GB0034)


[Return to Top Page]

[Return to IEICE Web Page]


The Institute of Electronics, Information and Communication Engineers (IEICE), Japan